skip to main content
10.1145/2228360.2228525acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

What to do about the end of Moore's law, probably!

Published:03 June 2012Publication History

ABSTRACT

Computers process bits of information. A bit can take a value of 0 or 1, and computers process these bits through some physical mechanism. In the early days of electronic computers, this was done by electromechanical relays [28] which were soon replaced by vacuum tubes [6]. From the very beginning, these devices and the computers they were used to build were affected by concerns of reliability. For example, in a relatively recent interview with Presper Eckert [1] who co-designed eniac, widely believed to be the first electronic computer built, he notes: "we had a tube fail about every two days, and we could locate the problem within 15 minutes."

References

  1. Alexander Randall 5th. A lost interview with ENIAC co-inventor J. Presper Eckert. Computer World, Retrieved 2011-04-25.Google ScholarGoogle Scholar
  2. L. Boltzmann and S. Brush. Lectures on Gas Theory, English translation by S. G. Brush. Dover Publications, 1995.Google ScholarGoogle Scholar
  3. G. Boole. The mathematical analysis of logic: Being an essay towards a calculus of deductive reasoning. 1847.Google ScholarGoogle Scholar
  4. L. N. B. Chakrapani and K. V. Palem. A probabilistic boolean logic and its meaning. Technical Report TR08-05, Rice University, Department of Computer Science, Jun 2008.Google ScholarGoogle Scholar
  5. D. Ernst et al. Razor: A low-power pipeline based on circuit-level timing speculation. in proc. of MICRO, pages 7--18, Oct. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. A. Fleming. Intrument for converting alternating electric currents into continuous currents. US Patent 803684, Nov 1905.Google ScholarGoogle Scholar
  7. M. Gell-Mann. Trying to make a reliable computer out of unreliable parts. http://www.webofstories.com/play/10585?o=MS.Google ScholarGoogle Scholar
  8. J. Gibbs. Elementary Principles in Statistical Mechanics. Scribner, New York, 1902.Google ScholarGoogle Scholar
  9. R. Hegde and N. R. Shanbhag. Energy-efficient signal processing via algorithmic noise-tolerance. In Proc. Int. Symp. on Low Power Electronics and Design, pages 30--35, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. D. Meindl et al. Nanoelectronics in retrospect, prospect and principle. in proc. of ISSCC, pages 31--35, 2010.Google ScholarGoogle Scholar
  11. John Sartori et al. Stochastic computing: Embracing errors in architecture and design of processors and applications. in proc. of CASES, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. E. Jonietz. Probabilistic chips. Technology Review, Published by MIT, http://www.technologyreview.com/energy/20246/, 2008.Google ScholarGoogle Scholar
  13. J. T. Ludwig et al. Low power filtering using approximate processing for DSP applications. in proc. of CICC, pages 185--188, 1995.Google ScholarGoogle ScholarCross RefCross Ref
  14. K. Nikolic et al. Architectures for reliable computing with unreliable nanodevices. in the proc. of IEEE conf. on Nanotechnology, pages 254--259, 2001.Google ScholarGoogle Scholar
  15. K. V. Palem et al. Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects. In in proc. of CASES, pages 1--10, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. L. B. Kish. End of Moore's law: Thermal (noise) death of integration in micro and nano electronics. Physics Letters A, 305:144--149, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  17. P. Korkmaz. Probabilistic CMOS (PCMOS) in the Nanoelectronics Regime. PhD thesis, Georgia Institute of Technology, 2007.Google ScholarGoogle Scholar
  18. L. N. B. Chakrapani et al. Probabilistic system-on-a-chip architectures. in ACM Trans. on Design Automation of Elec. Sys, 12(3):1--28, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. R. Landauer. Irreversibility and heat generation in the computing process. IBM J. Research and Development, 3:183--191, July 1961. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. A. Lingamneni et al. Energy parsimonious circuit design through probabilistic pruning. in proc. of DATE, pages 764--769, Mar 2011.Google ScholarGoogle ScholarCross RefCross Ref
  21. A. Lingamneni et al. Parsimonious circuit design for error-tolerant applications through probabilistic logic minimization. in the proc. of the PATMOS, pages 204--213, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. A. Lingamneni et al. Algorithmic methodologies for ultra-efficient inexact architectures for sustaining technology scaling. in the ACM International Conference on Computing Frontiers, May 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. A. Lingamneni et al. Synthesizing parsimonious inexact circuits through probabilistic design techniques. in the ACM Trans. on Embedded Computing Systems (spl. issue on Probabilistic Embedded Computing), 2012.Google ScholarGoogle Scholar
  24. L. N. B. Chakrapani et al. Highly energy and performance efficient embedded computing through approximately correct arithmetic: A mathematical foundation and preliminary experimental validation. In proc. of IEEE/ACM CASES, pages 187--196, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. G. D. Micheli. Synthesis and Optimization of Digital Circuits. McGraw-Hill, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. E. Moore and C. Shannon. Reliable circuits using less reliable relays I. Journal Franklin Institute, 262:191--208, Sept 1956.Google ScholarGoogle ScholarCross RefCross Ref
  27. G. E. Moore. Cramming more components onto integrated circuits. Electronics Magazine, 38(8), 1965.Google ScholarGoogle Scholar
  28. S. F. B. Morse. Improvement in the mode of communicating information by signals by the application of electro-magnetism. US Patent 1,647, June 1840.Google ScholarGoogle Scholar
  29. N Banerjee et al. Process variation tolerant low power DCT architecture. In Design, Automation and Test in Europe Conference, Apr 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. K. Palem and A. Lingamneni. Computing unreliably from unreliable elements: Inexact computing in perspective. in the ACM Trans. on Embedded Computing Systems (spl. issue on Probabilistic Embedded Computing), 2012.Google ScholarGoogle Scholar
  31. K. V. Palem. Energy aware algorithm design via probabilistic computing: From algorithms and models to Moore's law and novel (semiconductor) devices. In proc. of CASES, pages 113--116, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. K. V. Palem. Energy aware computing through probabilistic switching: A study of limits. IEEE Transactions on Computers, 54(9):1123--1137, 2005; (abridged form appeared as--K.V. Palem, Energy Aware Computing through Randomized Switching, Technical Report GIT-CC-03-16, Georgia Inst. of Technology, May 2003). Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. R. M. Karp et al. Average case analysis of a heuristic for the assignment problem. Mathematics of Operations Research, 19(3):513--522, Aug 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. M. O. Rabin. Probabilistic automata. Information and Control, 6:230--245, 1963.Google ScholarGoogle ScholarCross RefCross Ref
  35. R. Solovay and V. Strassen. A fast monte-carlo test for primality. SIAM Journal on Computing, pages 84--85, 1977.Google ScholarGoogle ScholarCross RefCross Ref
  36. L. Szilard. Reduction in entropy of a thermodynamic system caused by the interference of intelligent beings. Z. Physik, 53:840--856, 1929.Google ScholarGoogle ScholarCross RefCross Ref
  37. S. Ulam, R. D. Richtmyer, and J. von Neumann. Statistical methods in neutron diffusion. Los Alamos Scientific Laboratory report LAMS-551, 1947.Google ScholarGoogle Scholar
  38. J. Von Neumann. Probabilistic logics and the synthesis of reliable organisms from unreliable components. In Automata Studies (C.E. Shannon and J. McCarthy eds.), Priceton Univ. Press, Princeton, N.J., 1956.Google ScholarGoogle Scholar

Index Terms

  1. What to do about the end of Moore's law, probably!

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '12: Proceedings of the 49th Annual Design Automation Conference
      June 2012
      1357 pages
      ISBN:9781450311991
      DOI:10.1145/2228360

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 June 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader