skip to main content
10.1145/237090.237140acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
Article
Free Access

The case for a single-chip multiprocessor

Authors Info & Claims
Published:01 September 1996Publication History

ABSTRACT

Advances in IC processing allow for more microprocessor design options. The increasing gate density and cost of wires in advanced integrated circuit technologies require that we look for new ways to use their capabilities effectively. This paper shows that in advanced technologies it is possible to implement a single-chip multiprocessor in the same area as a wide issue superscalar processor. We find that for applications with little parallelism the performance of the two microarchitectures is comparable. For applications with large amounts of parallelism at both the fine and coarse grained levels, the multiprocessor microarchitecture outperforms the superscalar architecture by a significant margin. Single-chip multiprocessor architectures have the advantage in that they offer localized implementation of a high-clock rate processor for inherently sequential applications and low latency interprocessor communication for parallel applications.

References

  1. 1.S.P. Amarasinghe, J. M. Anderson, M. S. Lam, and C.-W. Tseng, "An overview of the SUIF compiler for scalable parallel machines," Proceedings of the Seventh SIAM Conference on Parallel Processing for Scientific Compiler, San Francisco, 1995.Google ScholarGoogle Scholar
  2. 2.S. Amarasinghe et.al., "Hot compilers for future hot chips," presented at Hot Chips VII, Stanford, CA, 1995.Google ScholarGoogle Scholar
  3. 3.D.W. Anderson, F. J. Sparacio, and R. M. Tomasulo, "The IBM System/360 model 91: Machine philosophy and instruction-handling," IBM Journal of Research and Development, vol. 11, pp. 8-24, 1967.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.W. Bowhill et. al., "A 300MHz 64b quad-issue CMOS microprocessor," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 182-}L 83, San Francisco, CA, 1995.Google ScholarGoogle Scholar
  5. 5.E. Bugnion, J. Anderson, T. Mowry, M. Rosenblum, and M. Lam. "Compiler-Directed Page Coloring for Multiprocessors," Proceedings Seventh International Syrnp. Architectural Support for Programming Languages and Operating Systems (ASPLOS VII), October 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6."Chart watch: RISC processors," Microprocessor Report, vol. 10, no. 1, p. 22, January, 1996.Google ScholarGoogle Scholar
  7. 7.T. Conte, K. Menezes, P. Mills, and B. Patel, "Optimization of instruction fetch mechanisms for high issue rates," Proceedings of the 22nd Annual International Symposium on Computer Architecture, pp. 333-344, Santa Margherita Ligure, Italy, June, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.D. Dobberpuhl et. al., "A 200-MHz 64-b dual-issue CMOS microprocessor," IEEE Journal of Solid-State Circuits, vol. 27, pp. 1555-1557, 1992.Google ScholarGoogle ScholarCross RefCross Ref
  9. 9.Don Drappper, "The interconnect nightmare,'" IEEE International Solid-State Circuits Conference Digest of Technical Papers, p. 278, San Francisco, CA, 19!}6.Google ScholarGoogle Scholar
  10. 10.K. Farkas, N. Jouppi, and P. Chow, "Register file considerations in dynamically scheduled processors," Proceedings of the 2nd Int. Syrup. on High-Perfi~rmance Computer Architecture, pp. 40-51, San Jose, CA, February, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.J. Hennessy and N. Jouppi, "Computer technology and architecture: an evolving interaction," IEEE Computer Magazine, vol. 24, no. 1, pp. 18-29, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.J.L. Hennessy and D. A. Patterson, Computer Architecture A Quantitative Approach 2nd Edition. San Francisco, California: Morgan Kaufman Publishers, Inc., 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.M. Johnson, Superscalar Microprocessor Design. Englewood Cliffs, NJ: Prentice Hall, Inc., 1991Google ScholarGoogle Scholar
  14. 14.J. Lotz, G. Lesartre, S. Naffzinger, and D. Kipp, "A quad issue out-of-order RISC CPU," IEEE International Solid-State Circuits Conference Digest of Technical Papers, }pp. 210- 211, San Francisco, CA, 1996.Google ScholarGoogle Scholar
  15. 15.S. McFarling, "Combining branch predictors," WRL Technical Note TN-36, Digital Equipment Corporation, 1993.Google ScholarGoogle Scholar
  16. 16.B. A. Nayfeh, L. Hammond, and K. Olukotun, "Evaluating alternatives for a multiprocessor microprocessor," Proceedings of 23rd Int. Symp. Computer Architecture, pp. 66-77, Philadelphia, PA, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.J. Ousterhout. "Why aren't operating systems getting faster as fast as hardware?," Summer 1990 USENIX Conference, pp. 247-256, June 1990.Google ScholarGoogle Scholar
  18. 18.M. Rosenblum, S. Herrod, E. Witchel, and A. Gupta, "The SimOS approach," IEEE Parallel and Distributed Technology, vol. 4, no. 3, 1995.Google ScholarGoogle Scholar
  19. 19.M. Rosenblum, E. Bugnion, S. Herrod, E. Witchel, and A. Gupta, "The impact of architectural trends on operating system performance," Proceedings of 15th ACM symposium on Operating Systems Principles, Colorado, December, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.G. Sohi and M. Franklin, "High Bandwidth Data Memory Systems for Superscalar Processors," Proceedings of 4th Int. Conf. Architectural Support for Programming Languages and Operating Systems (ASPLOS-IV), pp. 53- 62, April, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.J.E. Thornton, "Parallel operation in the Control Data 6600," Proceedings of Spring Joint Computer Conference, 1964.Google ScholarGoogle Scholar
  22. 22.D.W. Wall, "Limits of Instruction-Level Parallelism," Digital Western Research Laboratory, WRL Research Report 93/ 6, November 1993.Google ScholarGoogle Scholar
  23. 23.S.C. Woo, M. Ohara, E. Torrie, J.P. Singh and A. Gupta, "The SPLASH-2 Programs: Characterization and Methodological Considerations", 22nd Annual Int. Syrup. Computer Architecture, Santa Margherita, Italy, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. 24.K. Yeager et. al., "R10000 Superscalar Microprocessor," presented at Hot Chips VII, Stanford, CA, 1995.Google ScholarGoogle Scholar
  25. 25.J. Zurawski, J. Murray and P. Lemmon, '~the design and verification of the AlphaStation 600 5-series workstation," Digital Technical Journal, vol. 7, no. 1, pp. 89-99, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The case for a single-chip multiprocessor

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ASPLOS VII: Proceedings of the seventh international conference on Architectural support for programming languages and operating systems
            October 1996
            290 pages
            ISBN:0897917677
            DOI:10.1145/237090

            Copyright © 1996 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 1 September 1996

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            ASPLOS VII Paper Acceptance Rate25of109submissions,23%Overall Acceptance Rate535of2,713submissions,20%

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader