ABSTRACT
In this paper, we propose Database Processing Units, or DPUs, a class of domain-specific database processors that can efficiently handle database applications. As a proof of concept, we present the instruction set architecture, microarchitecture, and hardware implementation of one DPU, called Q100. The Q100 has a collection of heterogeneous ASIC tiles that process relational tables and columns quickly and energy-efficiently. The architecture uses coarse grained in- structions that manipulate streams of data, thereby maximizing pipeline and data parallelism, and minimizing the need to time multiplex the accelerator tiles and spill inter- mediate results to memory. This work explores a Q100 de- sign space of 150 configurations, selecting three for further analysis: a small, power-conscious implementation, a high- performance implementation, and a balanced design that maximizes performance per Watt. We then demonstrate that the power-conscious Q100 handles the TPC-H queries with three orders of magnitude less energy than a state of the art software DBMS, while the performance-oriented design out- performs the same DBMS by 70X.
Supplemental Material
Available for Download
This zip file includes the tex, pdf, bib, bbl, and figures for the camera ready.
- Kx systems. http://kx.com/_papers/Kx_White_Paper-2013-02c.pdf.Google Scholar
- Sybase IQ. http://www.sybase.com/products/archivedproducts/sybaseiq.Google Scholar
- D. J. Abadi, P. A. Boncz, and S. Harizopoulos. Column-oriented database systems. VLDB, August 2009. Google ScholarDigital Library
- D. J. Abadi, D. S. Myers, D. J. DeWitt, and S. R. Madden. Materialization strategies in a column-oriented dbms. In ICDE, 2007.Google ScholarCross Ref
- AMD/ATI. http://www.amd.com.Google Scholar
- P. A. Boncz, M. Zukowski, and N. Nes. Monetdb/x100: Hyper-pipelining query execution. In CIDR, 2005.Google Scholar
- Haran Boral and David J. DeWitt. Database machines: an idea whose time has passed? In IWDM, 1983.Google Scholar
- Centrum Wiskunde and Informatica. http://www.monetdb.org.Google Scholar
- E. S. Chung, J. D. Davis, and J. Lee. Linqits: Big data on little clients. In ISCA, 2013. Google ScholarDigital Library
- Intel Corporation. Intel 64® and IA-32 architectures software developer's manual. http://download.intel.com/products/processor/manual/253669.pdf.Google Scholar
- Teradata Corporation. http://www.teradata.com.Google Scholar
- J. B. Dennis. Advanced topics in data-flow computing. Prentice-Hall, 1991.Google Scholar
- M. Gebhart, B. A. Maher, K. E. Coons, J. Diamond, P. Gratz, M. Marino, N. Ranganathan, B. Robatmili, A. Smith, J. Burrill, S. W. Keckler, D. Burger, and K. S. McKinley. An evaluation of the TRIPS computer system. In ASPLOS, 2009. Google ScholarDigital Library
- B. Gold, A. Ailamaki, L. Huston, and B. Falsafi. Accelerating database operators using a network processor. In DaMoN, 2005. Google ScholarDigital Library
- N. K. Govindaraju, B. Lloyd, W. Wang, M. Lin, and D. Manocha. Fast computation of database operations using graphics processors. In SIGGRAPH, 2005. Google ScholarDigital Library
- G. Graefe and W. J. McKenna. The volcano optimizer generator: Extensivility and efficient search. In ICDE, 1993. Google ScholarDigital Library
- J.R. Gurd, C. C. Kirkham, and I. Watson. The manchester prototype dataflow computer. Communications of the ACM, 1985. Google ScholarDigital Library
- R. Hameed, W. Qadeer, M. Wachs, O. Azizi, A. Solomatnikov, B. C. Lee, S. Richardson, C. Kozyrakis, and M. Horowitz. Understanding sources of inefficiency in general-purpose chips. In ISCA, 2010. Google ScholarDigital Library
- J. Hicks, D. Chiou, B. S. Ang, and Arvind. Performance studies of ld on the monsoon dataflow system. 1993.Google Scholar
- D. Howard, E. Gorbatov, U. R. Hanebutte, R. Khanna, and C. Le. Rapl: memory power estimateion and capping. In ISLPED, 2010. Google ScholarDigital Library
- Jason Howard, Saurabh Dighe, Yatin Hoskote, Sriram R. Vangal, David Finan, Gregory Ruhl, David Jenkins, Howard Wilson, Nitin Borkar, Gerhard Schrom, Fabric Pailet, Shailendra Jain, Tiju Jacob, Satish Yada, Sraven Marella, Praveen Salihundam, Vasantha Erraguntla, Michael Konow, Michael Riepen, Guido Droege, Joerg Lindemann, Matthias Gries, Thomas Apel, Kersten Henriss, Tor Lund-Larsen, Sebastian Steibl, Shekhar Borkar, Vivek De, Rob F. Van der Wijngaart, and Timothy G. Mattson. A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS. In ISSCC, pages 108--109, 2010.Google ScholarCross Ref
- IBM. IBM Netezza Data Warehouse Appliance. http://www-01.ibm.com/software/data/netezza/.Google Scholar
- IDC Research. IDC's most recent worldwide Big Data technology and services market forecast. http://www.idc.com/getdoc.jsp?containerId=prUS23355112.Google Scholar
- S. Idreos, F. Groffen, N. Nes, S. Manegold, K. S. Mullender, and M. L. Kersten. Monetdb: Two decades of research in column-oriented database architectures. Data Engineering Bulletin, 2012.Google Scholar
- Intel Corporation. Intel Xeon Processor E5--2430, 2012. http://ark.intel.com/products/64616/Intel-Xeon-Processor-E5--2430--(15M-Cache-2_20-GHz-7_20-GTs-Intel-QPI).Google Scholar
- M. F. Ionescu and K. E. Schauser. Optimizing parallel bitonic sort. In IPDPS, 1997.Google ScholarCross Ref
- A. Lamb, M. Fuller, R. Varadarajan, N. Tran, B. Vandiver, L. Doshi, and C. Bear. The vertica analytic database: C-store 7 years later. In VLDB, 2012. Google ScholarDigital Library
- A. McAfee and E. Brynjolfsson. Big Data: The management revolution. Harvard Business Review, October 2012.Google Scholar
- R. Muller and J. Teubner. FPGAs: A new point in the database design space, 2010. EDBT Tutorial. Google ScholarDigital Library
- NVIDIA. http://www.nvidia.com.Google Scholar
- A. Parashar, M. Pellauer, M. Adler, B. Ahsan, N. Crago, D. Lustig, V. Pavlov, A. Zhai, M. Gambhir, A. Jaleel, R. Allmon, R. Rayess, and J. Emer. Triggered instructions: A control paradigm for spatially-programmed architectures. In ISCA, 2013. Google ScholarDigital Library
- W. Qadeer, R. Hameed, O. Shacham, P. Venkatesan, C. Kozyrakis, and M. A. Horowitz. Convolution engine: Balancing efficiency and flexibility in specialized computing. In ISCA, 2013. Google ScholarDigital Library
- V. Salapura, T. Karkhanis, P. Nagpurkar, and J. Moreira. Accelerating business analytics applications. In HPCA, 2012. Google ScholarDigital Library
- M. Stonebraker, D. J. Abadi, A. Batkin, X. Chen, M. Cherniack, M. Ferreira, E. Lau, A. Lin, S. Madden, E. O'Neil, P. O'Neil, A. Rasin, N. Tran, and S. Zdonik. C-store: a column-oriented dbms. In VLDB, 2005. Google ScholarDigital Library
- S. Swanson, A. Schwerin, M. Mercaldi, A. Petersen, A. Putnam, K. Michelson, M. Oskin, and S. J. Eggers. The wavescalar architecture. ACM Trans. Comp. Syst., 2007. Google ScholarDigital Library
- Synopsys, Inc. 32/28nm Generic Library for IC Design, Design Compiler, IC Compiler. http://www.synopsys.com.Google Scholar
- W. Thies and S. Amarasinghe. An empirical characterization of stream programs and its implications for language and compiler design. In PACT, 2010. Google ScholarDigital Library
- S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar. An 80-tile 1.28TFLOPS network-on-chip in 65nm CMOS. In ISSCC, February 2007.Google ScholarCross Ref
- L. Wu, R. J. Barker, M. A. Kim, and K. A. Ross. Navigating big data with high-throughput, energy-efficient data partitioning. In ISCA, 2013. Google ScholarDigital Library
- M. Zukowski and P. Boncz. Vectorwise: Beyond column stores. Data Engineering Bulletin, 2012.Google Scholar
Index Terms
- Q100: the architecture and design of a database processing unit
Recommendations
Q100: the architecture and design of a database processing unit
ASPLOS '14In this paper, we propose Database Processing Units, or DPUs, a class of domain-specific database processors that can efficiently handle database applications. As a proof of concept, we present the instruction set architecture, microarchitecture, and ...
Q100: the architecture and design of a database processing unit
ASPLOS '14In this paper, we propose Database Processing Units, or DPUs, a class of domain-specific database processors that can efficiently handle database applications. As a proof of concept, we present the instruction set architecture, microarchitecture, and ...
Vector Extensions for Decision Support DBMS Acceleration
MICRO-45: Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on MicroarchitectureDatabase management systems (DBMS) have become an essential tool for industry and research and are often a significant component of data centres. As a result of this criticality, efficient execution of DBMS engines has become an important area of ...
Comments