skip to main content
research-article

Networks-on-Chip for FPGAs: Hard, Soft or Mixed?

Published:03 September 2014Publication History
Skip Abstract Section

Abstract

As FPGA capacity increases, a growing challenge is connecting ever-more components with the current low-level FPGA interconnect while keeping designers productive and on-chip communication efficient. We propose augmenting FPGAs with networks-on-chip (NoCs) to simplify design, and we show that this can be done while maintaining or even improving silicon efficiency. We compare the area and speed efficiency of each NoC component when implemented hard versus soft to explore the space and inform our design choices. We then build on this component-level analysis to architect hard NoCs and integrate them into the FPGA fabric; these NoCs are on average 20--23× smaller and 5--6× faster than soft NoCs. A 64-node hard NoC uses only ∼2% of an FPGA's silicon area and metallization. We introduce a new communication efficiency metric: silicon area required per realized communication bandwidth. Soft NoCs consume 4960 mm2/TBps, but hard NoCs are 84× more efficient at 59 mm2/TBps. Informed design can further reduce the area overhead of NoCs to 23 mm2/TBps, which is only 2.6× less efficient than the simplest point-to-point soft links (9 mm2/TBps). Despite this almost comparable efficiency, NoCs can switch data across the entire FPGA while point-to-point links are very limited in capability; therefore, hard NoCs are expected to improve FPGA efficiency for more complex styles of communication.

References

  1. M. S. Abdelfattah and V. Betz. 2012. Design tradeoffs for hard and soft FPGA-based networks-on-chip. In Proceedings of the International Conference on Field-Programmable Technology (FPT'12). 95--103.Google ScholarGoogle Scholar
  2. Altera Corp. 2007. Stratix III FPGA: Lowest power, highest performance 65-nm FPGA. http://www.altera.com/devices/fpga/stratix-fpgas/stratix-iii/st3-index.jsp.Google ScholarGoogle Scholar
  3. J. Balfour and W. J. Dally. 2006. Design tradeoffs for tiled cmp on-chip networks. In Proceedings of the 20th Annual International Conference on Supercomputing (ICS'06). 187--198. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. U. Becker. 2012. Efficient microarchitecture for NoC router. Ph.D. dissertation, Stanford University.Google ScholarGoogle Scholar
  5. D. U. Becker and W. J. Dally. 2009. Allocator implementations for network-on-chip routers. In Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis (SC'09). 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Bhatnagar. 2002. Advanced ASIC Chip Synthesis using Synopsys Design Compiler, Physical Compiler and Primetime. Kluwer Academic Publishers, Norwell, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. E. S. Chung, J. C. Hoe, and K. Mai. 2011. CoRAM: An in-fabric memory architecture for FPGA-based computing. In Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'11). 97--106. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. J. Dally and B. Towles. 2001. Route packets, not wires: On-chip interconnection networks. In Proceedings of the 38th Annual Design Automation Conference (DAC'01). 684--689. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. J. Dally and B. Towles. 2004. Principles and Practices of Interconnection Networks. Morgan Kaufmann, San Fransisco. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Francis and S. Moore. 2008. Exploring hard and soft networks-on-chip for FPGAs. In Proceedings of the International Conference on ICECE Technology (FPT'08). 261--264.Google ScholarGoogle Scholar
  11. K. Goossens, M. Bennebroek, J. Y. Hur, and M. A. Wahlah. 2008. Hardwired networks on chip in FPGAs to unify functional and configuration interconnects. In Proceedings of the 2nd ACM/IEEE International Symposium on Networks-on-Chip (NOCS'08). 45--54. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. R. Ho, K. W. Mai, and M. A. Horowitz. 2001. The future of wires. Proc. IEEE 89, 4, 490--504.Google ScholarGoogle ScholarCross RefCross Ref
  13. Y. Huan and A. Dehon. 2012. FPGA optimized packet-switched NoC using split and merge primitives. In Proceedings of the International Conference on Field-Programmable Technology (FPT'12). 47--52.Google ScholarGoogle Scholar
  14. M. Hutton, D. Karchmer, B. Archell, and J. Govig. 2005. Efficient static timing analysis and applications using edge masks. In Proceedings of the 13th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA'05). 174--183. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. I. Kuon and J. Rose. 2007. Measuring the gap between FPGAs and ASICs. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 26, 2, 203--215. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Lee and L. Shannon. 2010. Predicting the performance of application-specific NoCs implemented on FPGAs. In Proceedings of the 18th Annual ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'10). 23--32. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. D. Lewis, D. Cashman, M. Chan, J. Chromczak, G. Lai, A. Lee, T. Vanderhoek, and H. Yu. 2013. Architectural enhancements in Stratix v. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'13). 147--156. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. K. Papamichael and J. C. Hoe. 2012. CONNECT: Re-examining conventional wisdom for designing NoCs in the context of FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'12). 37--46. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. G. Passas, M. Katevenis, and D. Pnevmatikatos. 2012. Crossbar NoCs are scalable beyond 100 nodes. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 31, 4, 573--585. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. G. Schelle and D. Grunwald. 2008. Exploring FPGA network on chip implementations across various application and network loads. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL'08). 41--46.Google ScholarGoogle Scholar
  21. R. Scoville. 2010. TimeQuest User Guide. Wiki Release.Google ScholarGoogle Scholar
  22. B. Sethuraman, P. Bhattacharya, J. Khan, and R. Vemuri. 2005. LiPaR: A light-weight parallel router for FPGA-based networks-on-chip. In Proceedings of the 15th ACM Great Lakes Symposium on VLSI (GLSVLSI'05). 452--457. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Synopsys. 2010. Design compiler optimization reference manual. http://cleroux.vvv.enseirb-matmeca.fr/EN216/doc/dcrmo.pdf.Google ScholarGoogle Scholar
  24. Y. Tamir and G. L. Frazier. 1988. High-performance multi-queue buffers for VLSI communication switches. In Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA'88). 343--354. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. L. G. Valiant and G. J. Brebner. 1981. Universal schemes for parallel communication. In Proceedings of the 13th Annual ACM Symposium on Theory of Computing (STOC'81). 263--277. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. H. Wong, V. Betz, and J. Rose. 2011. Comparing FPGA vs. custom CMOs and the impact on processor microarchitecture. In Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'11). 5--14. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Networks-on-Chip for FPGAs: Hard, Soft or Mixed?

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Reconfigurable Technology and Systems
        ACM Transactions on Reconfigurable Technology and Systems  Volume 7, Issue 3
        Special Issue on 11th International Conference on Field-Programmable Technology (FPT'12) and Special Issue on the 7th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC'12)
        August 2014
        199 pages
        ISSN:1936-7406
        EISSN:1936-7414
        DOI:10.1145/2664590
        Issue’s Table of Contents

        Copyright © 2014 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 3 September 2014
        • Accepted: 1 November 2013
        • Revised: 1 September 2013
        • Received: 1 May 2013
        Published in trets Volume 7, Issue 3

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader