Abstract
The latest biomedical applications require low energy consumption, high performance, and wide energy-performance scalability to adapt to various working environments. In this study, we present ULP-SRP, an energy-efficient reconfigurable processor for biomedical applications. ULP-SRP uses a Coarse-Grained Reconfigurable Array (CGRA) for high-performance data processing with low energy consumption. We adopted a compact-size CGRA and modified it to support dynamically switchable three performance modes with fine-grained power gating in order to further optimize the energy consumption. The energy-performance scalability is also accomplished with multiple performance modes and a Unified Memory Architecture (UMA). Experimental results show that ULP-SRP achieved 59% energy reduction compared to previous works. A technique of dynamic CGRA mode changing gives 18.9% energy reduction. ULP-SRP is a good candidate for future mobile healthcare devices.
- Maryam Ashouei, Jos Hulzink, Mario Konijnenburg, Jun Zhoa, Filipa Duarte, et al. 2011. A voltage-scalable biomedical signal processor running ECG at 13pj/cycle 1MHZ 0.4v. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'11).Google ScholarCross Ref
- Gregory Chen, Mathew Fojtik, Daeyeon Kim, David Fick, Junsun Park, et al. 2010. Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'10).Google ScholarCross Ref
- Filipa Duarte, Jos Hulzink, Jun Zhao, Jan Stuijt, Jos Huisken, and Harmke De Groot. 2011. A 36uW heartbeat-detection processor for a wireless sensor node. ACM Trans. Des. Autom. Electron. Syst. 16, 4. Google ScholarDigital Library
- Shu-Yu Hsu, Yao-Lin Chen, Po-Yoa Chang, Jui-Yuan Yu, Ten-Fang Yang, Ray-Jade Chen, and Chen-Yi Lee. 2011. A micropower biomedical signal processor for mobile healthcare applications. In Proceedings of the IEEE Asian Solid-State Circuits Conference.Google ScholarCross Ref
- Wonsub Kim, Donghoon Yoo, Haewoo Park, and Minwook Ahn. 2012. SCC based modulo scheduling for coarse-grained reconfigurable processors. In Proceedings of the International Conference on Field-Programmable Technology (ICFPT'12).Google ScholarCross Ref
- Sangjo Lee, Joonho Song, Minsoo Kim, Dohyung Kim, and Shihwa Lee. 2011. H.264/avc UHD decoder implementation on multi-cluster platform using hybrid parallelization method. In Proceedings of the 18th IEEE International Conference on Image Processing.Google ScholarCross Ref
- Bingfeng Mei, Serge Vernalde, Diederik Verkest, Hugo De Man, and Rudy Lauwereins. 2003. ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL'03).Google ScholarCross Ref
- Taewook Oh, Bernhard Egger, Hyunchul Park, and Scott Mahlke. 2009. Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures. In Proceedings of the International Conference on Languages, Compilers, Tools and Theory for Embedded Systems (LCTES'09). Google ScholarDigital Library
- B. Ramakrishna Rau. 1994. Iterative modulo scheduling: An algorithm for software pipelining loops. In Proceedings of the 27th International Symposium on Microarchitecture (MICRO'94). Google ScholarDigital Library
- Inaki Romero, Bernard Grundlehner, Julien Penders, Jos Huisken, and Yahya H. Yassin. 2009. Low-power robust beat detection in ambulatory cardiac monitoring. In Proceedings of the IEEE Biomedical Circuits and Systems Conference.Google Scholar
- Srinivasa R. Sridhara, Michael Direnzo, Srinivas Lingam, Seok-Jun Lee, Raul Ruiz Blazquez, et al. 2011. Microwatt embedded processor platform for medical system-on-chip applications. IEEE J. Solid-State Circ. 46, 4.Google ScholarCross Ref
- Refet Firat Yazicioglu, Patrick Merken, Robert Puers, and Chris Van Hoof. 2008. A 200μ W eight-channel acquisition ASIC for ambulatory EEG systems. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'08).Google Scholar
- Refet Firat Yazicioglu, Sunyoung Kim, Tom Torfs, Patrick Merken, and Chris Van Hoof. 2010. A 30μ W analog signal processor ASIC for biomedical signal monitoring. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'10).Google Scholar
- Lennart Yseboodt, Michael De Nil, Jos Huisken, Mladen Berekovic, Quin Zhao, et al. 2007. Design of 100uW wireless sensor nodes for biomedical monitoring. J. Signal Process. Syst. 57, 1, 107--119. Google ScholarDigital Library
- Xiaodan Zou, Xiaoyuan Xu, Libin Yao and Yong Lian. 2009. A 1-v 450-nw fully integrated programmable biomedical sensor interface chip. IEEE J. Solid-State Circ. 44, 4.Google ScholarCross Ref
Index Terms
- ULP-SRP: Ultra Low-Power Samsung Reconfigurable Processor for Biomedical Applications
Recommendations
Low power chips: a fabless asic perspective
ISLPED '08: Proceedings of the 2008 international symposium on Low Power Electronics & DesignThe fabless ASIC model has changed the landscape of ASIC design by offering a high-quality, cost-effective and open alternative to realizing ASICs. The very nature of this model (because of its reliance on the third-party foundry, IP ecosystem) offers ...
An efficient wakeup scheduling considering resource constraint for sensor-based power gating designs
ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided DesignPower gating has been a very effective way to reduce leakage power. One important design issue for a power gating design is to limit the surge current during the wakeup process. Normally, a wakeup scheduling is required to control turn-on times of sleep ...
Analysis and optimization of sleep modes in subthreshold circuit design
DAC '07: Proceedings of the 44th annual Design Automation ConferenceSubthreshold operation is a promising method for reducing power consumption in ultra-low power applications, such as active RFIDs and sensor networks. It was shown in previous works that operating at the Vmin supply voltage results in optimal energy ...
Comments