skip to main content
10.1145/2656045.2656057acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Energy efficient DVFS scheduling for mixed-criticality systems

Authors Info & Claims
Published:12 October 2014Publication History

ABSTRACT

Consolidating functionalities with different safety requirements into a common platform gives rise to mixed-criticality systems. The state-of-the-art research has focused on providing heterogeneous timing guarantees for tasks of varying criticality levels. This is achieved by dropping less critical tasks when critical tasks overrun. However, with drastically increased computing requirements and the often battery-operated nature of mixed-criticality systems, energy minimization for such systems is also becoming crucial. In fact, this has already been possible since many modern processors are equipped with the capacity of dynamic voltage and frequency scaling (DVFS), where processor frequency can be reduced at runtime to save energy.

We present in this paper the first results known to date on applying DVFS to mixed-criticality systems. We show that DVFS can be used to help critical tasks to meet deadlines by speeding up the processor when they overrun. This will further allow the system to reserve less time budgets for task overrun. Thus, more slack can be explored to reduce the processor frequency to save energy for scenarios when tasks do not overrun. Since overrun is rare, such a strategy can greatly reduce the expected energy consumption for mixed-criticality systems. For solving the energy minimization problem, we formulate a convex program by integrating DVFS with a well-known mixed-criticality scheduling technique -- EDF-VD. Furthermore, we present analytical results on this problem and propose an optimal algorithm to solve it. With both theoretical and experimental results, we demonstrate energy savings and various tradeoffs.

References

  1. "Mixed criticality systems." http://cordis.europa.eu/fp7/ict/embedded-systems-engineering/documents/sra-mixed-criticality-systems.pdf.Google ScholarGoogle Scholar
  2. K. P. Valavanis and K. P. Valavanis, Advances in Unmanned Aerial Vehicles: State of the Art and the Road to Autonomy. Springer Publishing Company, Incorporated, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. K. Baruah, A. Burns, and R. I. Davis, "Response-time analysis for mixed criticality systems," in RTSS, pp. 34--43, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Baruah, V. Bonifaci, G. D'Angelo, H. Li, A. Marchetti-Spaccamela, S. van der Ster, and L. Stougie, "The preemptive uniprocessor scheduling of mixed-criticality implicit-deadline sporadic task systems," in ECRTS, pp. 145--154, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. F. Santy, L. George, P. Thierry, and J. Goossens, "Relaxing mixed-criticality scheduling strictness for task sets scheduled with fp," in ECRTS, pp. 155--165, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. Park and S. Kim, "Dynamic scheduling algorithm and its schedulability analysis for certifiable dual-criticality systems," in EMSOFT, pp. 253--262, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. S. K. Baruah, V. Bonifaci, G. DâĂŹAngelo, A. Marchetti-Spaccamela, S. Van Der Ster, and L. Stougie, "Mixed-criticality scheduling of sporadic task systems," in Algorithms--ESA 2011, pp. 555--566, Springer, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Ekberg and W. Yi, "Bounding and shaping the demand of mixed-criticality sporadic tasks," in ECRTS, pp. 135--144, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Baruah and G. Fohler, "Certification-cognizant time-triggered scheduling of mixed-criticality systems," in RTSS, pp. 3--12, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. "Automotive electronics." http://en.wikipedia.org/wiki/Automotive_electronics.Google ScholarGoogle Scholar
  11. N. Fisher, J.-J. Chen, S. Wang, and L. Thiele, "Thermal-aware global real-time scheduling on multicore systems," in Real-Time and Embedded Technology and Applications Symposium, pp. 131--140, April 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Baruah, "Mixed criticality schedulability analysis is highly intractable," 2009.Google ScholarGoogle Scholar
  13. P. Huang, G. Giannopoulou, N. Stoimenov, and L. Thiele, "Service adaptions for mixed-criticality systems," in ASP-DAC, pp. 125--130, 2014.Google ScholarGoogle Scholar
  14. J.-J. Chen and C.-F. Kuo, "Energy-efficient scheduling for real-time systems on dynamic voltage scaling (dvs) platforms," in International Conference on Embedded and Real-Time Computing Systems and Applications, pp. 28--38, IEEE, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Pagani and J.-J. Chen, "Energy efficiency analysis for the single frequency approximation (sfa) scheme," in RTCSA, pp. 82--91, 2013.Google ScholarGoogle Scholar
  16. D. Zhu, R. Melhem, and D. Mossé, "The effects of energy management on reliability in real-time embedded systems," in IEEE/ACM International Conference on Computer Aided Design, pp. 35--40, IEEE, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. A. Nelson, O. Moreira, A. Molnos, S. Stuijk, B. Nguyen, and K. Goossens, "Power minimisation for real-time dataflow applications," in Digital System Design (DSD), pp. 117--124, Aug 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. S. Pagani and J.-J. Chen, "Energy efficient task partitioning based on the single frequency approximation scheme," in RTSS, pp. 308--318, Dec 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. "Constrained optimization." https://www.wolfram.com/technology/guide/ConstrainedNonlinearOptimization/.Google ScholarGoogle Scholar
  20. P. Huang, P. Kumar, G. Giannopoulou, and L. Thilele, "Energy efficient dvfs scheduling for mixed-criticality systems," Tech. Rep. 354, ETH Zurich, Laboratory TIK, July 2014.Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Y. E. Nesterov and M. J. Todd, "Self-scaled barriers and interior-point methods for convex programming," Mathematics of Operations research, vol. 22, no. 1, pp. 1--42, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. P. Boyd and L. Vandenberghe, Convex optimization. Cambridge university press, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. A. Andrei, M. Schmitz, P. Eles, Z. Peng, and B. M. Al-Hashimi, "Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems," in Proceedings of the Conference on Design, Automation and Test in Europe, (Washington, DC, USA), 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Baruah and S. Vestal, "Schedulability analysis of sporadic tasks with multiple criticality specifications," in ECRTS, pp. 147--155, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. H. Li and S. Baruah, "Load-based schedulability analysis of certifiable mixed-criticality systems," in EMSOFT, pp. 99--108, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. S. Vestal, "Preemptive scheduling of multi-criticality systems with varying degrees of execution time assurance," in RTSS, pp. 239--243, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. P. Huang, H. Yang, and L. Thiele, "On the scheduling of fault-tolerant mixed-criticality systems," in Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, pp. 131:1--131:6, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. F. Yao, A. Demers, and S. Shenker, "A scheduling model for reduced cpu energy," in 36th Annual Symposium on Foundations of Computer Science, pp. 374--382, Oct 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. J. Tschanz, N. S. Kim, S. Dighe, J. Howard, G. Ruhl, S. Vangal, S. Narendra, Y. Hoskote, H. Wilson, C. Lam, M. Shuman, C. Tokunaga, D. Somasekhar, S. Tang, D. Finan, T. Karnik, N. Borkar, N. Kurd, and V. De, "Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging," in International Solid-State Circuits Conference, pp. 292--604, Feb 2007.Google ScholarGoogle Scholar
  30. P. Huang, O. Moreira, K. Goossens, and A. Molnos, "Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors," in Proceedings of the 28th Annual ACM Symposium on Applied Computing, pp. 1517--1524, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. L. Benini, A. Bogliolo, A. Paleologo, and G. De Micheli, "Policy optimization for dynamic power management," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, pp. 813--833, Jun 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. L. Benini, A. Bogliolo, and G. De Micheli, "A survey of design techniques for system-level dynamic power management," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 8, pp. 299--316, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy efficient DVFS scheduling for mixed-criticality systems

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          EMSOFT '14: Proceedings of the 14th International Conference on Embedded Software
          October 2014
          301 pages
          ISBN:9781450330527
          DOI:10.1145/2656045

          Copyright © 2014 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 12 October 2014

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate60of203submissions,30%

          Upcoming Conference

          ESWEEK '24
          Twentieth Embedded Systems Week
          September 29 - October 4, 2024
          Raleigh , NC , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader