skip to main content
10.1145/2897937.2897971acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A probabilistic scheduling framework for mixed-criticality systems

Published:05 June 2016Publication History

ABSTRACT

We propose a probabilistic scheduling framework for the design and development of mixed-criticality systems, i.e., where tasks with different levels of criticality need to be scheduled on a shared resource. Whereas highly critical tasks normally require hard real-time guarantees, less or non-critical ones may be degraded or even temporarily discarded at runtime. We hence propose giving probabilistic (instead of deterministic) real-time guarantees on low-criticality tasks. This simplifies the analysis and reduces conservativeness on the one hand. On the other hand, probabilistic guarantees can be tuned by the designer to reach a desired level of assurance. We illustrate these and other benefits of our framework based on extensive simulations.

References

  1. N. Audsley, A. Burns, M. Richardson, K. Tindell, and A. Wellings. Applying new scheduling theory to static priority pre-emptive scheduling. Software Engineering Journal, 8(5), 1993.Google ScholarGoogle ScholarCross RefCross Ref
  2. S. Baruah, V. Bonifaci, G. D'Angelo, H. Li, A. Marchetti-Spaccamela, S. van der Ster, and L. Stougie. The preemptive uniprocessor scheduling of mixed-criticality implicit-deadline sporadic task systems. In Proc. of Euromicro Conference on Real-Time Systems (ECRTS), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Baruah, V. Bonifaci, G. D'Angelo, A. Marchetti-Spaccamela, S. Van Der Ster, and L. Stougie. Mixed-criticality scheduling of sporadic task systems. In Proc. of European Symposium on Algorithms (ESA), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Baruah, A. Burns, and R. Davis. Response-time analysis for mixed criticality systems. In Proc. of Real-Time Systems Symposium (RTSS), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Baruah, A. Burns, and R. Davis. An extended fixed priority scheme for mixed criticality systems. In Proc. of Workshop on Real-Time Mixed Criticality Systems (ReTiMics), Aug. 2013.Google ScholarGoogle Scholar
  6. S. Baruah, B. Chattopadhyay, H. Li, and I. Shin. Mixed-criticality scheduling on multiprocessors. Real-Time System.tJ (RTS), 50, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. E. Bini and G. Buttazzo. Measuring the performance of schedulability tests. Real-Time Systems (RTS), 30(1-2), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Burns and R. Davis. Adaptive mixed criticality scheduling with deferred preemption. In Proc. of Real-Time System.tJ Symposium (RTSS), Dec. 2014.Google ScholarGoogle ScholarCross RefCross Ref
  9. A. Burns and R. Davis. Mixed criticality systems - a review. Technical report, Department of Computer Science, University of York, 2015.Google ScholarGoogle Scholar
  10. P. Ekberg and W. Yi. Bounding and shaping the demand of mixed-criticality sporadic tasks. In Proc. of Euromicro Conference on Real-Time Systems (ECRTS), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Ekberg and W. Yi. Bounding and shaping the demand of generalized mixed-criticality sporadic task systems. Real-Time Systems (RTS), 50(1), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Hamdaoui and P. Ramanathan. A dynamic priority assignment technique for streams with (m,k)-firm deadlines. IEEE Transactions on Computers, 44(12), 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. P. Huang, G. Giannopoulou, N. Stoimenov, and L. Thiele. Service adaptions for mixed-criticality systems. Technical report, Computer Engineering and Networks Laboratory, ETH Zurich, 2013.Google ScholarGoogle Scholar
  14. P. Huang, P. Kumar, G. Giannopoulou, and L. Thiele. Run and be safe: Mixed-criticality scheduling with temporary processor speedup. In Proc. of Design, Automation and Test in Europe (DATE), March 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. T.-W. Kuo and A. K. Mok. Load adjustment in adaptive real-time systems. In Proc. of Real-Time Systems Symposium (RTSS), 1991.Google ScholarGoogle ScholarCross RefCross Ref
  16. R. Pathan. Schedulability analysis of mixed-criticality systems on multiprocessors. In Proc. of Euromicro Conference on Real-Time Systems (ECRTS), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. Ren and L. T. X. Phan. Mixed-criticality scheduling on multiprocessors using task grouping. In Proc. of Euromicro Conference on Real-Time Systems (ECRTS), July 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Su and D. Zhu. An elastic mixed-criticality task model and its scheduling algorithm. In Proc. of Design, Automation and Test in Europe (DATE), 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. Vestal. Preemptive scheduling of multi-criticality systems with varying degrees of execution time assurance. In Proc. of Real-Time Systems Symposium (RTSS), 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Y. Wang and M. Saksena. Scheduling fixed-priority tasks with preemption threshold. In Proc. of Real-Time Computing Systems and Applications (RTCSA), 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Q. Zhao, Z. Gu, and H. Zeng. PT-AMC: Integrating Preemption Thresholds into Mixed-Criticality Scheduling. In Proc. of Design, Automation and Test in Europe (DATE), pages 141--146, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A probabilistic scheduling framework for mixed-criticality systems

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Other conferences
          DAC '16: Proceedings of the 53rd Annual Design Automation Conference
          June 2016
          1048 pages
          ISBN:9781450342360
          DOI:10.1145/2897937

          Copyright © 2016 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 5 June 2016

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate1,770of5,499submissions,32%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader