skip to main content
10.1145/3195970.3196100acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Raise your game for split manufacturing: restoring the true functionality through BEOL

Authors Info & Claims
Published:24 June 2018Publication History

ABSTRACT

Split manufacturing (SM) seeks to protect against piracy of intellectual property (IP) in chip designs. Here we propose a scheme to manipulate both placement and routing in an intertwined manner, thereby increasing the resilience of SM layouts. Key stages of our scheme are to (partially) randomize a design, place and route the erroneous netlist, and restore the original design by re-routing the BEOL. Based on state-of-the-art proximity attacks, we demonstrate that our scheme notably excels over the prior art (i.e., 0% correct connection rates). Our scheme induces controllable PPA overheads and lowers commercial cost (the latter by splitting at higher layers).

References

  1. C. McCants, "Trusted integrated chips (TIC)," Intelligence Advanced Research Projects Activity (IARPA), 2011. {Online}. Available: https://www.iarpa.gov/index.php/research-programs/ticGoogle ScholarGoogle Scholar
  2. D. Forte, S. Bhunia, and M. M. Tehranipoor, Eds., Hardware Protection through Obfuscation. Springer, 2017. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Rajendran, O. Sinanoglu, and R. Karri, "Is split manufacturing secure?" in Proc. Des. Autom. Test Europe, 2013, pp. 1259--1264. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. B. Hill et al., "A split-foundry asynchronous FPGA," in Proc. Cust. Integ. Circ. Conf., 2013, pp. 1--4.Google ScholarGoogle Scholar
  5. Y. Wang, P. Chen, J. Hu, and J. J. Rajendran, "The cat and mouse in split manufacturing," in Proc. Des. Autom. Conf., 2016, pp. 165:1--165:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Magaña, D. Shi, and A. Davoodi, "Are proximity attacks a threat to the security of split manufacturing of integrated circuits?" in Proc. Int. Conf. Comp.-Aided Des., 2016, pp. 90:1--90:7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Magaña, D. Shi, J. Melchert, and A. Davoodi, "Are proximity attacks a threat to the security of split manufacturing of integrated circuits?" Trans. VLSI Syst., vol. 25, no. 12, pp. 3406--3419, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  8. A. Sengupta et al., "Rethinking split manufacturing: An information-theoretic approach with secure layout techniques," in Proc. Int. Conf. Comp.-Aided Des., 2017, pp. 329--336. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. L. Feng et al., "Making split fabrication synergistically secure and manufacturable," in Proc. Int. Conf. Comp.-Aided Des., 2017, pp. 313--320. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Y. Wang, T. Cao, J. Hu, and J. J. Rajendran, "Front-end-of-line attacks in split manufacturing," in Proc. Int. Conf. Comp.-Aided Des., 2017, pp. 321--328.Google ScholarGoogle Scholar
  11. (2018) DfX Lab, NYUAD. {Online}. Available: http://sites.nyuad.nyu.edu/dfx/research-topics/design-for-trust-split-manufacturing/Google ScholarGoogle Scholar
  12. Y. Wang, P. Chen, J. Hu, and J. Rajendran, "Routing perturbation for enhanced security in split manufacturing," in Proc. Asia South Pac. Des. Autom. Conf., 2017, pp. 605--610.Google ScholarGoogle Scholar
  13. K. Xiao, D. Forte, and M. M. Tehranipoor, "Efficient and secure split manufacturing via obfuscated built-in self-authentication," in Proc. Int. Symp. Hardw.-Orient. Sec. Trust, 2015, pp. 14--19.Google ScholarGoogle Scholar
  14. N. Viswanathan et al., "The ISPD-2011 routability-driven placement contest and benchmark suite," in Proc. Int. Symp. Phys. Des., 2011, pp. 141--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. A. B. Kahng, H. Lee, and J. Li, "Horizontal benchmark extension for improved assessment of physical CAD research," in Proc. Great Lakes Symp. VLSI, 2014, pp. 27--32. {Online}. Available: http://vlsicad.ucsd.edu/A2A/ Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. (2011) NanGate FreePDK45 Open Cell Library. Nangate Inc. {Online}. Available: http://www.nangate.com/?page_id=2325Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '18: Proceedings of the 55th Annual Design Automation Conference
    June 2018
    1089 pages
    ISBN:9781450357005
    DOI:10.1145/3195970

    Copyright © 2018 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 24 June 2018

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader