skip to main content
10.1145/775832.775869acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing

Published:02 June 2003Publication History

ABSTRACT

In this paper we propose a novel special-purpose data memory subsystem, called Xtream-Fit, aimed at achieving high energy-delay efficiency for streaming media applications. A key novelty of Xtream-Fit is that it exposes a single customization parameter, thus enabling a very simple and yet effective design space exploration methodology. A second key contribution of this work is the ability to achieve very high energy-delay efficiency through a synergistic combination of: (1) special purpose memory subsystem components, namely, a Streaming Memory and Scratch-Pad Memory; and (2) a novel task-based execution model that exposes/enhances opportunities for efficient prefetching, and aggressive dynamic energy conservation techniques targeting on-chip and off-chip memory components. Extensive experimental results show that Xtream-Fit reduces energy-delay product by 46% to 83%, as compared to general-purpose memory subsystems enhanced with state of the art Cache Decay and SDRAM power mode control policies.

References

  1. F. Catthoor et al. Custom Memory Management Methodology: Exploration of Memory Organization for Embedded Multimedia System Design. KAP, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. P. R. Panda et al. Data and Memory Optimization Techniques for Embedded Systems. ACM TODAES, 6(2), 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. P.R. Panda et al. Memory Issues in Embedded Systems-on-Chip: Optimizations and Exploration. KAP, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Montanaro et al. A 160MHz 32b 0.5W CMOS RISC Microprocessor. In ISSCC Digest of Technical Papers, 1996.Google ScholarGoogle Scholar
  5. C. Lee et al. MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems. In MICRO, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. V. Delaluz et al. Scheduler-Based DRAM Energy Management. In DAC, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. X. Fan et al. Memory Controller Policies for DRAM Power Management. In ISLPED, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Kaxiras et al. Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power. In ISCA, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Zhou et al. Adaptive Mode Control: A Static-Power-Efficient Cache Design. In PACT, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. C. Hughes et al. Saving Energy with Architectural and Frequency Adaptations for Multimedia Applications. In MICRO, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. Hughes et al. Variability in the Execution of Multimedia Applications and Implications for Architecture. In ISCA, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. B. Khailany et al. Imagine: Media Processing with Streams. In IEEE Micro, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. S. Rixner et al. Memory Access Scheduling. In ISCA, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. Kandemir et al. Dynamic Management of Scratch-Pad Memory Space. In DAC, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P. R. Panda et al. Efficient Utilization of Scratch-Pad Memory in Embedded Processor Applications. In ETDC, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. O. Unsal et al. Cool-Cache for Hot Multimedia. In MICRO, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. O. Unsal et al. On Memory Behavior of Scalars in Embedded Multimedia Systems. In WMPI, ISCA, 2001.Google ScholarGoogle Scholar
  18. http://www.rambus.com/.Google ScholarGoogle Scholar
  19. http://www.micron.com/.Google ScholarGoogle Scholar
  20. http://www.samsung.com/.Google ScholarGoogle Scholar
  21. D. H. Albonesi. Selective Cache Ways: On-demand Cache Resource Allocation. In MICRO, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. L. Benini et al. A Recursive Algorithm for Low-Power Memory Partitioning. In ISLPED, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. D. Chiou et al. Application-Specific Memory Management in Embedded Systems Using Software Controlled Caches. In DAC, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. V. Milutinovic et al. The Split Temporal/Spatial Cache: Initial Performance Analysis. In SCIzzL, 1996.Google ScholarGoogle Scholar
  25. P. Ranganathan et al. Reconfigurable Caches and their Application to Media Processing. In ISCA, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. W. Tang et al. Fetch Size Adaptation vs. Stream Buffer for Media Benchmarks. In WMSP, MICRO, 2001.Google ScholarGoogle Scholar
  27. S. VanderWiel et al. When Caches Are Not Enough: Data Prefetching Techniques. IEEE Computer, 30(7), 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. H. Lee et al. Region-Based Caching: An Energy-Delay Efficient Memory Architecture for Embedded Processors. In CASES, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. D. Burger et al. Evaluating Future Microprocessors: The SimpleScalar Tool Set Technical Report, University of Wisconsin, Madison, 1996.Google ScholarGoogle Scholar
  30. S. Wilton et al. An Enhanced Access and Cycle Time Model for On-chip Caches. Technical Report, DEC WRL, 1994.Google ScholarGoogle Scholar
  31. S.-H. Yang et al. An IC/Arch Approach to Reducing Leakage in Deep-Submicron High Performance I-Caches. In HPCA, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          DAC '03: Proceedings of the 40th annual Design Automation Conference
          June 2003
          1014 pages
          ISBN:1581136889
          DOI:10.1145/775832

          Copyright © 2003 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 2 June 2003

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          DAC '03 Paper Acceptance Rate152of628submissions,24%Overall Acceptance Rate1,770of5,499submissions,32%

          Upcoming Conference

          DAC '24
          61st ACM/IEEE Design Automation Conference
          June 23 - 27, 2024
          San Francisco , CA , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader