skip to main content
10.1145/383082.383119acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Run-time power estimation in high performance microprocessors

Authors Info & Claims
Published:06 August 2001Publication History
First page image

References

  1. 1.R. I. Bahar, G. Albera, and S. Manne. Power and performance tradeoffs using various caching strategies. In Proceedings of the International Symposium on Low-Power Electronics and Design, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.F. Bellosa. The benefits of event-driven energy accounting in power-sensitive systems. In Proceedings of 9th ACM SIGOPS European Workshop, September 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.R. Berrendorf and B. Mohr. PCL - The Performance Counter Library: A Common Interface toAccess Hardware Performance Counters on Microprocessors (Version 2.0). http://www.kfa-juelich.de/zam/PCL/.Google ScholarGoogle Scholar
  4. 4.D. Brooks and M. Martonosi. Dynamically exploiting narrow width operands to improve processor power and performance. In Proceedings of the 5th International Symposium on High Performance Computer Architecture, Jan. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th International Symposium on Computer Architecture, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.D. Burger, T. M. Austin, and S. Bennett. Evaluating future microprocessors: the SimpleScalar tool set. Tech. Report TR-1308, Univ. of Wisconsin-Madison Computer Sciences Dept., July 1996.Google ScholarGoogle Scholar
  7. 7.T. Cignetti, K. Komarov, and C. Ellis. Energy estimation tools for the PalmTM . InACM - MSWiM 2000: Modeling, Analysis and Simulation of Wireless and Mobile Systems, August 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.D. Folegnani and A. Gonzalez. Reducing power consumption of the issue logic. In Proceedings 2000 Workshop on Complexity Effective Design (WCED) at ISCA2000, June 2000.Google ScholarGoogle Scholar
  9. 9.Intel Corporation. Overview of the VTuneTM Performance Analyzer. http://developer.intel.com/software/products/vtune/.Google ScholarGoogle Scholar
  10. 10.T. C. Lee, V. Tiwari, S. Malik, and M. Fujita. Power analysis and low-power scheduling techniques for embedded DSP software. IEEE Transactions on VLSI Systems, December 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.S. Manne, A. Klauser, and D. Grunwald. Pipeline gating: Speculation control for energy reduction. In Proceedings of the 25th International Symposium on Computer Architecture, pages 132-41, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.T. Mathisen. Pentium secrets. Byte Magazine, pages 191-192, July 1994.Google ScholarGoogle Scholar
  13. 13.A. Rogers, M. Carlisle, J. Reppy, and L. Hendren. Supporting dynamic data structures on distributed memory machines. In ACM Transactions on Programming Languages and Systems, 17(2), March 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.J. Russell and M. Jacome. Software power estimation and optimization for high performance, 32-bit embedded processors. In Proceedings of the International Conference on Computer Design, October 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.H. Sanchez et al. Thermal management system for high performance PowerPC microprocessors. In Proceedings of CompCon '97, Feb. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.V. Tiwari, S. Malik, and A. Wolfe. Power analysis of embedded software: A first step towards software power minimization. IEEE Transactions on VLSI Systems, 2(4):437-445, December 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.V. Tiwari, S. Malik, A. Wolfe, and M. Lee. Instruction level power analysis and optimization of software. Journal of VLSI Signal Processing, 13(3):223-238, September 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.M. Toburen, T. Conte, and M. Reilly. Instruction scheduling for low power dissipation in high performance microprocessors. Technical report, North Carolina State University, May 1998.Google ScholarGoogle Scholar
  19. 19.N. Vijaykrishnan. Energy-driven integrated hardware-software optimizations using SimplePower. In Proceedings of the 27th International Symposium on Computer Architecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.M. A. Viredaz and D. A. Wallach. Power evaluation of Itsy version 2.3. Tech. Note TN 57, Digital Western Research Laboratory, October 2000.Google ScholarGoogle Scholar
  21. 21.V. Zyuban and P. Kogge. The energy complexity of register files. In Proceedings of the International Symposium on Low-Power Electronics and Design, pages 305-310, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Run-time power estimation in high performance microprocessors

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ISLPED '01: Proceedings of the 2001 international symposium on Low power electronics and design
            August 2001
            393 pages
            ISBN:1581133715
            DOI:10.1145/383082

            Copyright © 2001 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 6 August 2001

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            ISLPED '01 Paper Acceptance Rate73of194submissions,38%Overall Acceptance Rate398of1,159submissions,34%

            Upcoming Conference

            ISLPED '24

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader