skip to main content
article

Techniques for Multicore Thermal Management: Classification and New Exploration

Published:01 May 2006Publication History
Skip Abstract Section

Abstract

Power density continues to increase exponentially with each new technology generation, posing a major challenge for thermal management in modern processors. Much past work has examined microarchitectural policies for reducing total chip power, but these techniques alone are insufficient if not aimed at mitigating individual hotspots. The industry's current trend has been toward multicore architectures, which provide additional opportunities for dynamic thermal management. This paper explores various thermal management techniques that exploit the distributed nature of multicore processors. We classify these techniques in terms of core throttling policy, whether that policy is applied locally to a core or to the processor as a whole, and process migration policies. We use Turandot and a HotSpot-based thermal simulator to simulate a variety of workloads under thermal duress on a 4-core PowerPCTMprocessor. Using benchmarks from the SPEC 2000 suite we characterize workloads in terms of instruction throughput as well as their effective duty cycles. Among a variety of options we find that distributed controltheoretic DVFS alone improves throughput by 2.5X under our test conditions. Our final design involves a PI-based core thermal controller and an outer control loop to decide process migrations. This policy avoids all thermal emergencies and yields an average of 2.6X speedup over the baseline across all workloads.

References

  1. {1} ACPI - Advanced Configuration and Power Interface. www.acpi.info, 2005.Google ScholarGoogle Scholar
  2. {2} S. Balakrishnan et al. The Impact of Performance Asymmetry in Emerging Multicore Architectures. In Proc. of the 32nd Intl. Symp. on Computer Architecture, June 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. {3} S. Borkar. Design Challenges of Technology Scaling. IEEE Micro, pages 23-29, July/Aug. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. {4} D. Brooks et al. Power-A ware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. IEEE Micro, 20(6):26-44, Nov/Dec. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. {5} D. Brooks and M. Martonosi. Dynamic Thermal Management For High-Performance Microprocessors. In Proc. of the 7th Intl. Symp. on High-Performance Computer Architecture, Jan. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. {6} P. Chaparro et al. Distributing the Frontend for Temperature Reduction. In Proc. of the 11th Intl. Symp. on High-Performance Computer Architecture, Feb. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. {7} P. Chaparro, J. González, and A. González. Thermal-Effective Clustered Microarchitectures. In Proc. of the First Workshop on Temperature-Aware Computer Systems, June 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. {8} J. Clabes et al. Design and Implementation of the POWER5TM Microprocessor. In Proc. of the 2004 Intl. Solid-State Circuits Conf., Feb. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. {9} J. Donald and M. Martonosi. Temperature-Aware Design Issues for SMT and CMP Architectures. In Proc. of the 5th Workshop on Complexity-Effective Design, June 2004.Google ScholarGoogle Scholar
  10. {10} J. Donald and M. Martonosi. Leveraging Simultaneous Multithreading for Adaptive Thermal Control. In Proc. of the Second Workshop on Temperature-Aware Computer Systems, June 2005.Google ScholarGoogle Scholar
  11. {11} S. Ghiasi and D. Grunwald. Design Choices for Thermal Control in Dual-Core Processors. In Proc. of the 5th Workshop on Complexity-Effective Design, June 2004.Google ScholarGoogle Scholar
  12. {12} A. González. Research Challenges on Temperature-A ware Computer Systems (panel). In Second Workshop on Temperature-Aware Computer Systems. Intel Corp., June 2005.Google ScholarGoogle Scholar
  13. {13} S. Gunther et al. Managing the Impact of Increasing Microprocessor Power Consumption. Intel Technology Journal, Q1(5), June 2001.Google ScholarGoogle Scholar
  14. {14} Y. Han, I. Koren, and C. A. Moritz. Temperature Aware Floorplanning. In Proc. of the Second Workshop on Temperature-Aware Computer Systems, June 2005.Google ScholarGoogle Scholar
  15. {15} J. Hasan et al. Heat Stroke: Power-Density-Based Denial of Service in SMT. In Proc. of the 11th Intl. Symp. on High-Performance Computer Architecture, Feb. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. {16} J. L. Henning. SPEC CPU2000: Measuring CPU Performance in the New Millennium. IEEE Computer, 33(7):28-35, July 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. {17} S. Heo, K. Barr, and K. Asanovic. Reducing Power Density through Activity Migration. In Proc. of the Intl. Symp. on Low Power Electronics and Design, Aug. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. {18} W. Huang et al. Compact Thermal Modeling for Temperature-Aware Design. In Proc. of the 41st Design Automation Conf., June 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. {19} A. Iyer and D. Marculescu. Power Efficiency of Multiple Clock Multiple Voltage Cores. In Proc. of the IEEE/ACM Conf. on Computer-Aided Design, Nov. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. {20} S. Kaxiras et al. Comparing Power Consumption of an SMT and a CMP DSP for Mobile Phone Workloads. In Proc. of the 2001 Intl. Conf. on Compilers, Architecture, and Synthesis for Embedded Systems, Nov. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. {21} J. Li and J. Martinez. Power-Performance Implications of Thread-level Parallelism on Chip Multiprocessors. In P=AC2: IBM Conf. on Architectures, Compilers, and Circuits, Sept. 2005.Google ScholarGoogle Scholar
  22. {22} Y. Li et al. Understanding the Energy Efficiency of Simultaneous Multithreading. In Proc. of the Intl. Symp. on Low Power Electronics and Design, Aug. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. {23} Y. Li et al. Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. In Proc. of the 11th Intl. Symp. on High-Performance Computer Architecture, Feb. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. {24} Z. Lu et al. Reducing Multimedia Decode Power Using Feedback Control. In Proc. of the Intl. Conf. on Computer Design, Oct. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. {25} J. McGregor. x86 Power and Thermal Management. Microprocessor Report, Dec. 2004.Google ScholarGoogle Scholar
  26. {26} A. Merkel, A. Weissel, and F. Bellosa. Event-Driven Thermal Management in SMP Systems. In Proc. of the Second Workshop on Temperature-Aware Computer Systems, June 2005.Google ScholarGoogle Scholar
  27. {27} M. Moudgill, J.-D. Wellman, and J. H. Moreno. Environment for PowerPC Microarchitecture Exploration. IEEE Micro, 19(3):15-25, May/June 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. {28} C. D. Patel. Smart Chip, System and Data Center: Dynamic Provisioning of Power and Cooling from Chip Core to the Cooling Tower (keynote). In Second Workshop on Temperature-Aware Computer Systems. HP Labs, June 2005.Google ScholarGoogle Scholar
  29. {29} M. D. Powell, M. Gomaa, and T. N. Vijaykumar. Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the Operating System. In Proc. of the 11th Intl. Conf. on Architectural Support for Programming Languages and Operating Systems, Oct. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. {30} L. Shang et al. Thermal Modeling, Characterization and Management of On-Chip Networks. In Proc. of the 37th Intl. Symp. on Microarchitecture, Dec. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. {31} T. Sherwood et al. Automatically Characterizing Large Scale Program Behavior. In Proc. of the 10th Intl. Conf. on Architectural Support for Programming Languages and Operating Systems, Oct. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. {32} K. Skadron, T. Abdelzaher, and M. R. Stan. Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. In Proc. of the 8th Intl. Symp. on High-Performance Computer Architecture, Feb. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. {33} K. Skadron et al. Temperature-Aware Microarchitecture. In Proc. of the 30th Intl. Symp. on Computer Architecture, Apr. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. {34} D. C. Steere et al. A Feedback-driven Proportion Allocator for Real-rate Scheduling. In Proc. of the Third Symp. on Operating System Design and Implementation, Feb. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. {35} A. Weissel and F. Bellosa. Dynamic Thermal Management for Distributed Systems. In Proc. of the First Workshop on Temperature-Aware Computer Systems, June 2004.Google ScholarGoogle Scholar
  36. {36} Q. Wu et al. Formal Online Methods for Voltage/Frequency Control in Multiple Clock Domain Microprocessors. In Proc. of the 11th Intl. Conf. on Architectural Support for Programming Languages and Operating Systems, Oct. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. {37} Q. Wu et al. A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. In Proc. of the 38th Intl. Symp. on High-Performance Computer Architecture, Feb. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. {38} Q. Wu et al. Voltage and Frequency Control with Adaptive Reaction Time in Multiple-Clock-Domain Processors. In Proc. of the 11th Intl. Symp. on High-Performance Computer Architecture, Nov. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Techniques for Multicore Thermal Management: Classification and New Exploration

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM SIGARCH Computer Architecture News
            ACM SIGARCH Computer Architecture News  Volume 34, Issue 2
            May 2006
            383 pages
            ISSN:0163-5964
            DOI:10.1145/1150019
            Issue’s Table of Contents
            • cover image ACM Conferences
              ISCA '06: Proceedings of the 33rd annual international symposium on Computer Architecture
              June 2006
              383 pages
              ISBN:076952608X

            Copyright © 2006 Authors

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 1 May 2006

            Check for updates

            Qualifiers

            • article

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader