skip to main content
10.1145/1024393.1024423acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
Article

Formal online methods for voltage/frequency control in multiple clock domain microprocessors

Published:07 October 2004Publication History

ABSTRACT

Multiple Clock Domain (MCD) processors are a promising future alternative to today's fully synchronous designs. Dynamic Voltage and Frequency Scaling (DVFS) in an MCD processor has the extra flexibility to adjust the voltage and frequency in each domain independently. Most existing DVFS approaches are profile-based offline schemes which are mainly suitable for applications whose execution char-acteristics are constrained and repeatable. While some work has been published about online DVFS schemes, the prior approaches are typically heuristic-based. In this paper, we present an effective online DVFS scheme for an MCD processor which takes a formal analytic approach, is driven by dynamic workloads, and is suitable for all applications. In our approach, we model an MCD processor as a queue-domain network and the online DVFS as a feedback control problem with issue queue occupancies as feedback signals. A dynamic stochastic queuing model is first proposed and linearized through an accu-rate linearization technique. A controller is then designed and verified by stability analysis. Finally we evaluate our DVFS scheme through a cycle-accurate simulation with a broad set of applications selected from MediaBench and SPEC2000 benchmark suites. Compared to the best-known prior approach, which is heuristic-based, the proposed online DVFS scheme is substantially more effective due to its automatic regulation ability. For example, we have achieved a 2-3 fold increase in efficiency in terms of energy-delay product improvement. In addition, our control theoretic technique is more resilient, requires less tuning effort, and has better scalability as compared to prior online DVFS schemes.We believe that the techniques and methodology described in this paper can be generalized for energy control in processors other than MCD, such as tiled stream processors.

References

  1. Carl Anderson. Tuning and optimization of a 170m transistor microprocessor. In Proceedings of the IEEE/ACM International Workshop on Timing Issue in the Specification and Synthesis of Digital System (TAU2000), Dec 2000.Google ScholarGoogle Scholar
  2. K.J. Astrom and B. Wittenmark. Adaptive Control. Addison-Wesley, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. K. Bose. An Introduction to Queueing Systems. Kluwer Academic, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  4. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimization. In Proc. of the ISCA-27, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Burger and T. M. Austin. The SimpleScalar tool set version 2.0. Technical Report 97--1342, Department of Computer Science, University of Wisconsin-Madison, June 1997.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. Chelcea and S. M. Nowick. Robust interfaces for mixed-timing systems with application to latency-insensitive protocols. In Proc. of DAC-2001, pages 21--26, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. L.T. Clark. Circuit design of XScale microprocessors. In Proceedings of the 2001 Symposium on VLSI Circuits, June 2001.Google ScholarGoogle Scholar
  8. M. Taylor et al. The RAW processor - a scalable 32-bit fabric for embedded and general purpose computing. In Proceedings of Hot Chips XIII, August 2001.Google ScholarGoogle Scholar
  9. R.V. Hogg and A.T. Craig. Introduction to Mathematical Statistics, Fifth edition. Prentice Hall, 1995.Google ScholarGoogle Scholar
  10. C-H Hsu and U. Kremer. The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction. In Proc. of PLDI-2003, pages 38--48, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Iyer and D. Marculescu. Power efficiency of multiple clock multiple voltage cores. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. Iyer and D. Marculescu. Power-performance evaluation of globally asynchronous, locally synchronous processors. In Proc. of the 26th ISCA, May 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. Choi, R. Soma, and M. Pedram. Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times. In Proceedings of DATE, Feb 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. B.C. Kuo. Automatic Control Systems., 7th edition. Prentice Hall, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. D.V. Lindley. The theory of queues with a single server. In Proceedings of the Cambridge Philosophical Society, pages 277--289, 1952.Google ScholarGoogle ScholarCross RefCross Ref
  16. J. R. Lorch and A. J. Smith. Improving dynamic voltage scaling algorithm with PACE. In Proceedings of the SIGMETRICS-2001, pages 50--61, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Z. Lu, J.Hein, M. Stan, J. Lach, and K. Skadron. Control-theoretic dynamic frequency and voltage scaling. In Proc. of the Intl. Conference on Compiler, Architecture, and Synchesis for Embedded Systems (CASES), October 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. G. Magklis, M.L. Scott, G. Semeraro, D.H. Albonesi, and S.Dropsho. Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor. In Proc. of the 30th ISCA, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. Marculescu. On the use of microarchitecture-driven dynamic voltage scaling. In In Workshop on Complexity Effective Design, Vancouver, Canada, June 2000., June 2000.Google ScholarGoogle Scholar
  20. D. Marculescu, D.H. Albonesi, A. Buyuktosunoglu, and P. Bose. Partially asynchronous microprocessors (PAMs). In ISCA 2003 Tutorial, June 2003.Google ScholarGoogle Scholar
  21. D. Matzke. Will physical scalability sabotage performance gains? IEEE Computer, pages 37--39, Sep 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. E. Perelman, G. Hamerly, and B. Calder. Picking statistically valid and early simulation points. In Proc. of the PACT-2003, September 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. G. Semeraro, D.H. Albonesi, S.G. Dropsho, G. Magklis, S. Dwarkadas, and M.L. Scott. Dynamic frequency and voltage control for a multiple clock domain microarchitecture. In Proc. of the 35th Micro, pages 356--367, November 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. G. Semeraro, G. Magklis, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas, and M.L. Scott. Energy efficient processor design using multiple clock domains with dynamic voltage and frequency scaling. In Proc. of the 8th HPCA, pages 29--40, February 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. G. Semeraro, G. Magklis, and Y. Zhu. Personal communications. December 2003.Google ScholarGoogle Scholar
  26. A.E. Sjogren and C.J. Myers. Interfacing synchronous and asynchronous modules within a high-speeed pipeline. In Proceedings of the 17th International Conference on Advanced Research in VLSI, pages 47--61, Sept 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. K. Skadron, T. Abdelzaher, and M. Stan. Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management. In Proc. of the 8th HPCA, February 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Fen Xie, Margaret Martonosi, and Sharad Malik. Compile-time dynamic voltage scaling settings: Opportunities and limits. In Proc. of 2003 PLDI, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. K.Y. Yun and A. E. Dooply. Pausible clocking based heterogeneous systems. IEEE Transactions on VLSI Systems, 7(4):482--487, December 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Formal online methods for voltage/frequency control in multiple clock domain microprocessors

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ASPLOS XI: Proceedings of the 11th international conference on Architectural support for programming languages and operating systems
      October 2004
      296 pages
      ISBN:1581138040
      DOI:10.1145/1024393
      • cover image ACM SIGPLAN Notices
        ACM SIGPLAN Notices  Volume 39, Issue 11
        ASPLOS '04
        November 2004
        283 pages
        ISSN:0362-1340
        EISSN:1558-1160
        DOI:10.1145/1037187
        Issue’s Table of Contents
      • cover image ACM SIGOPS Operating Systems Review
        ACM SIGOPS Operating Systems Review  Volume 38, Issue 5
        ASPLOS '04
        December 2004
        283 pages
        ISSN:0163-5980
        DOI:10.1145/1037949
        Issue’s Table of Contents
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 32, Issue 5
        ASPLOS 2004
        December 2004
        283 pages
        ISSN:0163-5964
        DOI:10.1145/1037947
        Issue’s Table of Contents

      Copyright © 2004 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 7 October 2004

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate535of2,713submissions,20%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader