skip to main content
10.1145/1217935.1217974acmconferencesArticle/Chapter ViewAbstractPublication PageseurosysConference Proceedingsconference-collections
Article

Balancing power consumption in multiprocessor systems

Published:18 April 2006Publication History

ABSTRACT

Actions usually taken to prevent processors from overheating, such as decreasing the frequency or stopping the execution flow, also degrade performance. Multiprocessor systems, however, offer the possibility of moving the task that caused a CPU to overheat away to some other, cooler CPU, so throttling becomes only a last resort taken if all of a system's processors are hot. Additionally, the scheduler can take advantage of the energy characteristics of individual tasks, and distribute hot tasks as well as cool tasks evenly among all CPUs.This work presents a mechanism for determining the energy characteristics of tasks by means of event monitoring counters, and an energy-aware scheduling policy that strives to assign tasks to CPUs in a way that avoids overheating individual CPUs. Our evaluations show that the benefit of avoiding throttling outweighs the overhead of additional task migrations, and that energy-aware scheduling in many cases increases the system's throughput.

References

  1. linux/Documentation/sched-domains.txt. Documentation shipped with the Linux source code, 2005.Google ScholarGoogle Scholar
  2. H. Aydin, R. Melhem, D. Mosse, and P. Mejia-Alvarez. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. In ECRTS '01: Proceedings of the 13th Euromicro Conference on Real-Time Systems, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. H. Aydin, R. Melhem, D. Mosse, and P. Mejia-Alvarez. Dynamic and aggressive scheduling techniques for power-aware real-time systems. In RTSS '01: Proceedings of the 22nd IEEE Real-Time Systems Symposium (RTSS'01), 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai. The impact of performance asymmetry in emerging multicore architectures. In ISCA '05: Proceedings of the 32nd Annual International Symposium on Computer Architecture, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. G. Banga, P. Druschel, and J. Mogul. Resource containers: A new facility for resource management in server systems. In Proceedings of the Third Symposium on Operating System Design and Implementation (OSDI'99), 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. F. Bellosa. The benefits of event-driven energy accounting in power-sensitive systems. In Proceedings of the 9th ACM SIGOPS European Workshop, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. F. Bellosa. The case for event-driven energy accounting. Technical Report TR-I4-01-07, University of Erlangen, Department of Computer Science, 2001.Google ScholarGoogle Scholar
  8. F. Bellosa, A. Weissel, M. Waitz, and S. Kellner. Event-driven energy accounting for dynamic thermal management. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), 2003.Google ScholarGoogle Scholar
  9. J. R. Bulpin and I. A. Pratt. Hyper-threading aware process scheduling heuristics. In Proceedings of the 2005 USENIX Annual Technical Conference, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Chandra, S. Devine, B. Verghese, A. Gupta, and M. Rosenblum. Scheduling and page migration for multiprocessor compute servers. In Proceedings of the Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Fedorova, M. Seltzer, C. Small, and D. Nussbaum. Performance of multithreaded chip multiprocessors and implications for operating system design. In Proceedings of the 2005 USENIX Annual Technical Conference, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. W. M. Felter, T. W. Keller, M. D. Kistler, C. Lefurgy, K. Rajamani, R. Rajamony, F. L. Rawson, B. A. Smith, and E. V. Hensbergen. On the performance and use of dense servers. IBM Journal of Research and Development, 47(5/6), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. Flautner and T. Mudge. Vertigo: automatic performance-setting for linux. SIGOPS Oper. Syst. Rev., 36(SI): 105--116, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. Ghiasi, T. Keller, and F. Rawson. Scheduling for heterogeneous processors in server systems. In CF '05: Proceedings of the 2nd conference on Computing frontiers, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. M. Gomaa, M. D. Powell, and T. N. Vijaykumar. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. SIGARCH Comput. Archit. News, 32(5):260--270, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Heo, K. Barr, and K. Asanovi. Reducing power density through activity migration. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED'03), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. W. Huang, S. Ghosh, K. Sankaranarayanan, K. Skadron, and M. R. Stan. Compact thermal modeling for temperature-aware design. In Proceedings of the 41st ACM/IEEE Design Automation Conference (DAC), 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C. Isci and M. Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. R. Joseph and M. Martonosi. Run-time power estimation in high-performance microprocessors. In The International Symposium on Low Power Electronics and Design (ISLPED'01), 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K.-J. Lee and K. Skadron. Using performance counters for runtime temperature sensing in high-performance processors. In Proceedings of the Workshop on High-Performance, Power-Aware Computing (HP-PAC), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. W. Keller. Energy management for commercial servers. IEEE Computer, 36(12), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. P. Pillai and K. G. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In SOSP '01: Proceedings of the eighteenth ACM symposium on Operating systems principles, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. E. Rohou and M. D. Smith. Dynamically managing processor temperature and power. In Proceedings of the 2nd Workshop on Feedback-Directed Optimization, 1999.Google ScholarGoogle Scholar
  25. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. A. Snavely and D. M. Tullsen. Symbiotic jobscheduling for a simultaneous multithreaded processor. SIGOPS Oper. Syst. Rev., 34(5):234--244, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. S. Squillante and E. D. Lazowska. Using processor-cache affinity information in shared-memory multiprocessor scheduling. IEEE Transactions on Parallel and Distributed Systems, 4(2):131--143, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. T. K. Tan, A. Raghunathan, G. Lakshminarayana, and N. K. Jha. High-level energy macro-modeling of embedded software. In IEEE Transactions on Computer-Aided Design, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. J. Torrellas, A. Tucker, and A. Gupta. Evaluating the performance of cache-affinity scheduling in shared-memory multiprocessors. Journal of Parallel and Distributed Computing, 24(2):139--151, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. A. Weissel and F. Bellosa. Process cruise control --- event-driven clock scaling for dynamic power management. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'02), 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. A. Weissel and F. Bellosa. Dynamic thermal management for distributed systems. In Proceedings of the First Workshop on Temperature-Aware Computer Systems (TACS'04), 2004.Google ScholarGoogle Scholar
  32. H. Zeng, C. S. Ellis, A. R. Lebeck, and A. Vahdat. Ecosystem: managing energy as a first class operating system resource. SIGPLAN Not., 37(10):123--132, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Balancing power consumption in multiprocessor systems

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      EuroSys '06: Proceedings of the 1st ACM SIGOPS/EuroSys European Conference on Computer Systems 2006
      April 2006
      420 pages
      ISBN:1595933220
      DOI:10.1145/1217935
      • cover image ACM SIGOPS Operating Systems Review
        ACM SIGOPS Operating Systems Review  Volume 40, Issue 4
        Proceedings of the 2006 EuroSys conference
        October 2006
        383 pages
        ISSN:0163-5980
        DOI:10.1145/1218063
        Issue’s Table of Contents

      Copyright © 2006 Authors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 18 April 2006

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate241of1,308submissions,18%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader