ABSTRACT
Actions usually taken to prevent processors from overheating, such as decreasing the frequency or stopping the execution flow, also degrade performance. Multiprocessor systems, however, offer the possibility of moving the task that caused a CPU to overheat away to some other, cooler CPU, so throttling becomes only a last resort taken if all of a system's processors are hot. Additionally, the scheduler can take advantage of the energy characteristics of individual tasks, and distribute hot tasks as well as cool tasks evenly among all CPUs.This work presents a mechanism for determining the energy characteristics of tasks by means of event monitoring counters, and an energy-aware scheduling policy that strives to assign tasks to CPUs in a way that avoids overheating individual CPUs. Our evaluations show that the benefit of avoiding throttling outweighs the overhead of additional task migrations, and that energy-aware scheduling in many cases increases the system's throughput.
- linux/Documentation/sched-domains.txt. Documentation shipped with the Linux source code, 2005.Google Scholar
- H. Aydin, R. Melhem, D. Mosse, and P. Mejia-Alvarez. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. In ECRTS '01: Proceedings of the 13th Euromicro Conference on Real-Time Systems, 2001. Google ScholarDigital Library
- H. Aydin, R. Melhem, D. Mosse, and P. Mejia-Alvarez. Dynamic and aggressive scheduling techniques for power-aware real-time systems. In RTSS '01: Proceedings of the 22nd IEEE Real-Time Systems Symposium (RTSS'01), 2001. Google ScholarDigital Library
- S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai. The impact of performance asymmetry in emerging multicore architectures. In ISCA '05: Proceedings of the 32nd Annual International Symposium on Computer Architecture, 2005. Google ScholarDigital Library
- G. Banga, P. Druschel, and J. Mogul. Resource containers: A new facility for resource management in server systems. In Proceedings of the Third Symposium on Operating System Design and Implementation (OSDI'99), 1999. Google ScholarDigital Library
- F. Bellosa. The benefits of event-driven energy accounting in power-sensitive systems. In Proceedings of the 9th ACM SIGOPS European Workshop, 2000. Google ScholarDigital Library
- F. Bellosa. The case for event-driven energy accounting. Technical Report TR-I4-01-07, University of Erlangen, Department of Computer Science, 2001.Google Scholar
- F. Bellosa, A. Weissel, M. Waitz, and S. Kellner. Event-driven energy accounting for dynamic thermal management. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), 2003.Google Scholar
- J. R. Bulpin and I. A. Pratt. Hyper-threading aware process scheduling heuristics. In Proceedings of the 2005 USENIX Annual Technical Conference, 2005. Google ScholarDigital Library
- R. Chandra, S. Devine, B. Verghese, A. Gupta, and M. Rosenblum. Scheduling and page migration for multiprocessor compute servers. In Proceedings of the Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, 1994. Google ScholarDigital Library
- A. Fedorova, M. Seltzer, C. Small, and D. Nussbaum. Performance of multithreaded chip multiprocessors and implications for operating system design. In Proceedings of the 2005 USENIX Annual Technical Conference, 2005. Google ScholarDigital Library
- W. M. Felter, T. W. Keller, M. D. Kistler, C. Lefurgy, K. Rajamani, R. Rajamony, F. L. Rawson, B. A. Smith, and E. V. Hensbergen. On the performance and use of dense servers. IBM Journal of Research and Development, 47(5/6), 2003. Google ScholarDigital Library
- K. Flautner and T. Mudge. Vertigo: automatic performance-setting for linux. SIGOPS Oper. Syst. Rev., 36(SI): 105--116, 2002. Google ScholarDigital Library
- S. Ghiasi, T. Keller, and F. Rawson. Scheduling for heterogeneous processors in server systems. In CF '05: Proceedings of the 2nd conference on Computing frontiers, 2005. Google ScholarDigital Library
- M. Gomaa, M. D. Powell, and T. N. Vijaykumar. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. SIGARCH Comput. Archit. News, 32(5):260--270, 2004. Google ScholarDigital Library
- S. Heo, K. Barr, and K. Asanovi. Reducing power density through activity migration. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED'03), 2003. Google ScholarDigital Library
- W. Huang, S. Ghosh, K. Sankaranarayanan, K. Skadron, and M. R. Stan. Compact thermal modeling for temperature-aware design. In Proceedings of the 41st ACM/IEEE Design Automation Conference (DAC), 2004. Google ScholarDigital Library
- C. Isci and M. Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture, 2003. Google ScholarDigital Library
- R. Joseph and M. Martonosi. Run-time power estimation in high-performance microprocessors. In The International Symposium on Low Power Electronics and Design (ISLPED'01), 2001. Google ScholarDigital Library
- R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture, 2003. Google ScholarDigital Library
- K.-J. Lee and K. Skadron. Using performance counters for runtime temperature sensing in high-performance processors. In Proceedings of the Workshop on High-Performance, Power-Aware Computing (HP-PAC), 2005. Google ScholarDigital Library
- C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. W. Keller. Energy management for commercial servers. IEEE Computer, 36(12), 2003. Google ScholarDigital Library
- P. Pillai and K. G. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In SOSP '01: Proceedings of the eighteenth ACM symposium on Operating systems principles, 2001. Google ScholarDigital Library
- E. Rohou and M. D. Smith. Dynamically managing processor temperature and power. In Proceedings of the 2nd Workshop on Feedback-Directed Optimization, 1999.Google Scholar
- K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03), 2003. Google ScholarDigital Library
- A. Snavely and D. M. Tullsen. Symbiotic jobscheduling for a simultaneous multithreaded processor. SIGOPS Oper. Syst. Rev., 34(5):234--244, 2000. Google ScholarDigital Library
- M. S. Squillante and E. D. Lazowska. Using processor-cache affinity information in shared-memory multiprocessor scheduling. IEEE Transactions on Parallel and Distributed Systems, 4(2):131--143, 1993. Google ScholarDigital Library
- T. K. Tan, A. Raghunathan, G. Lakshminarayana, and N. K. Jha. High-level energy macro-modeling of embedded software. In IEEE Transactions on Computer-Aided Design, 2002. Google ScholarDigital Library
- J. Torrellas, A. Tucker, and A. Gupta. Evaluating the performance of cache-affinity scheduling in shared-memory multiprocessors. Journal of Parallel and Distributed Computing, 24(2):139--151, 1995. Google ScholarDigital Library
- A. Weissel and F. Bellosa. Process cruise control --- event-driven clock scaling for dynamic power management. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'02), 2002. Google ScholarDigital Library
- A. Weissel and F. Bellosa. Dynamic thermal management for distributed systems. In Proceedings of the First Workshop on Temperature-Aware Computer Systems (TACS'04), 2004.Google Scholar
- H. Zeng, C. S. Ellis, A. R. Lebeck, and A. Vahdat. Ecosystem: managing energy as a first class operating system resource. SIGPLAN Not., 37(10):123--132, 2002. Google ScholarDigital Library
Index Terms
- Balancing power consumption in multiprocessor systems
Recommendations
Balancing power consumption in multiprocessor systems
Proceedings of the 2006 EuroSys conferenceActions usually taken to prevent processors from overheating, such as decreasing the frequency or stopping the execution flow, also degrade performance. Multiprocessor systems, however, offer the possibility of moving the task that caused a CPU to ...
Energy-Aware Scheduling on Multiprocessor Platforms with Devices
CGC '13: Proceedings of the 2013 International Conference on Cloud and Green ComputingIn this paper, we address the problem of energy-aware task scheduling on DVFS-enabled multiprocessors with DPM-enabled device(s). Given a set of frame-based tasks, we aim to derive a scheduling where the device occupation constraint is respected, all of ...
Task activity vectors: a new metric for temperature-aware scheduling
Eurosys '08: Proceedings of the 3rd ACM SIGOPS/EuroSys European Conference on Computer Systems 2008Non-uniform utilization of functional units in combination with hardware mechanisms such as clock gating leads to different power consumptions in different parts of a processor chip. This in turn leads to non-uniform temperature distributions and ...
Comments