Abstract
With increasing application complexity and improvements in process technology, Chip MultiProcessors (CMPs) with tens to hundreds of cores on a chip are becoming a reality. Networks-on-Chip (NoCs) have emerged as scalable communication fabrics that can support high bandwidths for these massively parallel multicore systems. However, traditional electrical NoC implementations still need to overcome the challenges of high data transfer latencies and large power consumption. On-chip photonic interconnects with high performance-per-watt characteristics have recently been proposed as an alternative to address these challenges for intra-chip communication. In this article, we explore using low-cost photonic interconnects on a chip to enhance traditional electrical NoCs. Our proposed hybrid photonic ring-mesh NoC (METEOR) utilizes a configurable photonic ring waveguide coupled to a traditional 2D electrical mesh NoC. Experimental results indicate a strong motivation to consider the proposed architecture for future CMPs, as it can provide about 5× reduction in power consumption and improved throughput and access latencies, compared to traditional electrical 2D mesh and torus NoC architectures. Compared to other previously proposed hybrid photonic NoC fabrics such as the hybrid photonic torus, Corona, and Firefly, our proposed fabric is also shown to have lower photonic area overhead, power consumption, and energy-delay product, while maintaining competitive throughput and latency.
- S. Adya and I. Markov. 2003. Fixed-outline floorplanning: Enabling hierarchical design. J. IEEE VLSI Syst. 11, 1120--1135. Google ScholarDigital Library
- J. Ahn, M. Fiorentino, R. G. Beausoleil, N. Binkert, A. Davis, D. Fattal, N. P. Jouppi, M. McLaren, C. M. Santori, R. S. Schreiber, S. M. Spillane, D. Vantrease, and Q. Xu. 2009. Devices and architectures for photonic chip-scale integration. J. Appl. Phys. A Mater. Sci. Process. 95, 4, 989--997.Google ScholarCross Ref
- Z. H. Ai-Awwami, M. S. Obaidat, and M. Al-Mulhem. 2001. ZOMA: A preemptive deadlock recovery mechanism for fully adaptive routing in wormhole networks. In Proceedings of the International Conference on Computer Networks and Mobile Computing (ICCNMC'01). 519--525. Google ScholarDigital Library
- S. Bahirat and S. Pasricha. 2009. Exploring hybrid photonic networks-on-chip for emerging chip multiprocessors. In Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS'09). ACM Press, New York, 129--136. Google ScholarDigital Library
- K. Banerjee and A. Mehrotra. 2002. A power-optimal repeater insertion methodology for global interconnects in nanometer designs. IEEE Trans. Electron. Devices 49, 11, 2001--2007.Google ScholarCross Ref
- T. Barwicz, H. Byun, F. Gan, C. W. Holzwarth, M. A. Popovic, P. T. Rakich, M. R. Watts, E. P. Ippen, F. X. Krtner, H. I. Smith, J. S. Orcutt, R. J. Ram, V. Stojanovic, O. O. Olubuyide, J. L. Hoyt, S. Spector, M. Geis, M. Grein, T. Lyszczarz, and J. U. Yoon. 2007. Silicon photonics for compact, energy-efficient interconnects. J. Optical Netw. 6, 1, 63--73.Google ScholarCross Ref
- C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, et al. 2008. Building many core processor-to-dram networks with monolithic silicon photonics. In Proceedings of the 16th Annual Symposium on High Performance Interconnects. 21--30. Google ScholarDigital Library
- R. Bashirullah, W. Liu, and R. K. Cavin III. 2003. Current-mode signaling in deep submicrometer global interconnects. IEEE Trans. VLSI Syst. 11, 3, 406--417. Google ScholarDigital Library
- R. Beausoleil. 2011. Large-scale integrated photonics for high-performance interconnects. ACM J. Emerging Technol. Comput. Syst. 7, 2, 21--30. Google ScholarDigital Library
- K. Bernstein, P. Andry, J. Cann, P. Emma, D. Greenberg, W. Haensch, M. Ignatowski, S. Koester, J. Magerlein, R. Puri, and A. Young. 2007. Interconnects in the third dimension: Design challenges for 3d ics. In Proceedings of the Design Automation Conference (DAC'07). 562--567. Google ScholarDigital Library
- A. Biberman, B. Lee, K. Bergman, P. Dong, and M. Lipson. 2008. Demonstration of all-optical multi-wavelength message routing for silicon photonic networks. In Proceedings of the Optical Fiber Communication/National Fiber Optic Engineers Conference (OFC/NFOEC'08). 1--3.Google Scholar
- A. Biberman, K. Preston, G. Hendry, N. Sherwood-Droz, J. Chan, J. Levy, M. Lipson, and K. Bergman. 2011. Photonic networkon-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors. ACM J. Emerging Technol. Comput. Syst. 7, 2. Google ScholarDigital Library
- C. Bienia, S. Kumar, J. Singh, and K. Li. 2008. The PARSEC benchmark buite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT'08). ACM Press, New York, 72--81. Google ScholarDigital Library
- E. Carrera and R. Bianchini. 1998. OPTNET: A cost effective optical network for multiprocessors. In Proceedings of the 12th International Conference on Supercomputing (ICS'98). ACM Press, New York, 401--408. Google ScholarDigital Library
- E. Carrera and R. Bianchini. 2008. OPTNET: A cost-effective optical network for multiprocessors. In Proceedings of the 12th International Conference on Supercomputing (ICS'08). ACM Press, New York, 401--408. Google ScholarDigital Library
- M.-C. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, and S. W. Tam. 2008a. OPTNET: A cost-effective optical network for multiprocessors. In Proceedings of the 14th International Symposium on High Performance Computer Architecture (HPCA'08). 191--202.Google Scholar
- M.-C. Chang, S. Frank, S. Tam, J. Cong, and G. Reinman. 2008b. RF interconnects for communications on-chip. In Proceedings of the International Symposium on Physical Design (ISPD'08). ACM Press, New York, 78--83. Google ScholarDigital Library
- G. Chen, H. Chen, M. Haurylau, N. Nelson, D. Albonesi, M. Philippe, P. Fauchet, E. Friedman, and G. Eby. 2005. Predictions of cmos compatible on-chip optical interconnect. In Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP'05). ACM Press, New York, 13--20. Google ScholarDigital Library
- D. Chiarulli, S. Levitan, R. Melhem, M. Bidnurkar, R. Ditmore, G. Gravenstreter, Z. Guo, J. Qao, and C. Teza. 1994. Optoelectronic buses for high performance computing. Proc. IEEE 82, 11, 1701--1710.Google ScholarCross Ref
- M. Cianchetti, J. Kerekes, and D. Albonesi. 2009. Phastlane: A rapid transit optical routing network. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09). ACM Press, New York, 441--450. Google ScholarDigital Library
- J. Collet, F. Caignet, F. Sellaye, and D. Litaize. 2003. Performance constraints for onchip optical interconnects. IEEE J. Select. Topics Quantum Electron. 9, 2, 425--432.Google ScholarCross Ref
- J. Cunningham, S. Ivan, Z. Xuezhe, P. Thierry, M. Attila, L. Ying, T. Hiren, L. Guoliang, Y. Jin, R. Kannan, and A. Krishnamoorthy. 2010. Highly-efficient thermally-tuned resonant optical filters. J. Optics Express 18, 18, 19055--19063.Google ScholarCross Ref
- E. Desouza, M. Nuss, W. Knox, and D. Miller. 1995. Wavelength-division multiplexing with femtosecond pulses. J. Optics Express 20, 10, 1166--1168.Google Scholar
- DESIGNW. 2011. http://www.designw.com/.Google Scholar
- D. Ding and D. Pan. 2009. OIL: A nano-photonics optical interconnect library for a new photonic networks-on-chip architecture. In Proceedings of the 11th International Workshop on System Level Interconnect Prediction (SLIP'09). ACM Press, New York, 11--18. Google ScholarDigital Library
- R. Dobkin, A. Morgenshtein, A. Kolodny, and R. Ginosar. 2008. Parallel vs. serial on-chip communication. In Proceedings of the 10th International Workshop on System Level Interconnect Prediction (SLIP'08). ACM Press, New York, 43--50. Google ScholarDigital Library
- R. Dokania and A. Apsel. 2009. Analysis of challenges for on-chip optical interconnects. In Proceedings of the 19th ACM Great Lakes Symposium on VLSI (GLSVLSI'09). ACM Press, New York, 275--280. Google ScholarDigital Library
- M. Dresselhaus, G. Dresselhaus, P. Avouris, and R. Smalley. 2001. Carbon Nanotubes: Synthesis, Structure, Properties, and Applications. Springer.Google ScholarCross Ref
- B. Feero and P. Pande. 2007. Performance evaluation for three-dimensional networks-on-chip. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'07). 305--310. Google ScholarDigital Library
- M. Ghoneima, Y. Ismail, M. Khellah, J. Tschanz, and V. De. 2005. Serial-link bus: A low-power on-chip bus architecture. IEEE Trans. Circ. Syst. 56, 9, 2020--2032. Google ScholarDigital Library
- J. Goodman, F. Leonberger, K. Sun-Yuan, and R. Athale. 1984. Optical interconnects for vlsi systems. IEEE Optical Interconnect. VLSI Syst. 72, 7, 850--866.Google Scholar
- K. Goossens, J. Dielissen, and A. Radulescu. 2005. The thereal network on nhip: Noncepts, architectures, and implementations. IEEE J. Des. Test Comput. 22, 5, 414--421. Google ScholarDigital Library
- W. Green, M. Rooks, L. Sekaric, and Y. Vlasov. 2007. Ultra-compact, low RF power, 10 Gb/s silicon mach-zehnder modulator. J. Optics Express 15, 25, 17106--17113.Google ScholarCross Ref
- C. Gunn. 2006. CMOS photonics for high-speed interconnects. IEEE Micro 26, 2, 58--66. Google ScholarDigital Library
- A. Gupta, S. Levitan, L. Selavo, and D. Chiarulli. 2004. High-speed optoelectronics receivers in sige. In Proceedings of the 17th International Conference on VLSI Design (ICVD'04). 957--960. Google ScholarDigital Library
- J. Ha and T. Pinkston 1997. Speed demon: Cache coherence on an optical multichannel interconnect architecture. J. Parallel Distrib. Comput. 41, 1, 78--91. Google ScholarDigital Library
- M. Haurylau, G. Chen, H. Chen, J. Zhang, N. Nelson, D. Albonesi, E. Friedman, and P. Fauchet. 2006. On-chip optical interconnect roadmap: Challenges and critical directions. J. Select. Topics Quantum Electronics 12, 6, 1699--1705.Google ScholarCross Ref
- W. Haensch. 2007. Is 3d the next big thing in microprocessors? In Proceedings of the International Solid State Circuits Conference (ISSCC'07).Google Scholar
- I.-W. Hsieh, X. Chen, J. Dadap, N. Panoiu, J. Osgood, S. McNab, and Y. Vlasov. 2006. Ultrafast-pulse self-phase modulation and third-order dispersion in si photonic wire-waveguides. J. Optics Express 14, 25, 12380--12387.Google ScholarCross Ref
- Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 5, 51--61. Google ScholarDigital Library
- H. Jin, M. Frumkin, and J. Yan. 1999. The openmp implementation of nas parallel benchmarks and its performance. Tech. rep. NAS-99-011, NASA Ames Research Center.Google Scholar
- A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS'09). Google ScholarDigital Library
- A. Kahng, B. Li, L. Peh, and K. Samadi. 2009. ORION 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'09). 423--428. Google ScholarDigital Library
- Y. Kao and H. Chao. 2011. BLOCON: A bufferless photonic clos network-on-chip architecture. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS'11). Google ScholarDigital Library
- H. Kaul and D. Sylvester. 2005. A novel buffer circuit for energy efficient signaling in dual-vdd systems. In Proceedings of the 15th ACM Great Lakes Symposium on VLSI (GLSVLSI'05). 462--467. Google ScholarDigital Library
- S. Kawanishi, H. Takara, K. Uchiyama, I. Shake, and K. Mori. 1999. 3 tbit/s (160 gbit/s19 channel) optical tdm and wdm transmission experiment. Proc. Electron. Lett. 35, 10, 826--827.Google ScholarCross Ref
- S. Kimura, T. Hayakawa, T. Horiyama, M. Nakanishi, and K. Watanabe. 2003. An on-chip high speed serial communication method based on independent ring oscillators. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'03). 390--391.Google Scholar
- N. Kirman, M. Kirman, R. Dokania, J. Martnez, A. Apsel, M. Watkins, and D. Albonesi. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06). Google ScholarDigital Library
- M. Kobrinsky, B. Block, J. Zheng, B. Barnett, E. Mohammed, M. Reshotko, F. Robertson, S. List, and Young. 2004. On-chip optical interconnects. Intel Technol. 8, 2, 129--142.Google Scholar
- B. Koch, A. Fang, O. Cohen, and J. Bowers. 2007. Mode-locked silicon evanescent lasers. J. Optics Express 15, 18.Google ScholarCross Ref
- C. Kochar, A. Kodi, and A. Louri. 2007. Nd-rapid: A multidimensional scalable fault-tolerant optoelectronic interconnection for high performance computing systems. J. Optical Netw. 6, 5.Google ScholarCross Ref
- A. Kodi and A. Louri. 2004. Rapid: Reconfigurable and scalable all-photonic in-104 interconnect for distributed shared memory multiprocessors. J. Light-Wave Technol. 22, 2101--2110.Google ScholarCross Ref
- P. Koka, M. McCracken, H. Schwetman, X. Zheng, R. Ho, and A. Krishnamoorthy. 2010. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10). 117--128. Google ScholarDigital Library
- S. Koohi and S. Hessabi. 2011. Power efficient nanophotonic on-chip network for future large scale multiprocessor architectures. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'11). Google ScholarDigital Library
- F. Kreup, A. Graham, M. Liebau, G. Duesberg, R. Seidel, and E. Unger. 2004. Carbon nanotubes for interconnect applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM'04). 683--686.Google Scholar
- A. Kumar, L. Peh, P. Kundu, and N. Jha. 2007. Express virtual channels: Towards the ideal interconnection fabric. In Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA'07). Google ScholarDigital Library
- P. Larsson-Edefors, D. Eckerbert, H. Eriksson, and L. Svensson. 2003. Dual threshold voltage circuits in the presence of resistive interconnects. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'03). 225--230. Google ScholarDigital Library
- Z. Li, M. Mohamed, X. Chen, H. Zhou, A. Mickelson, L. Shang, and M. Vachharajani. 2011a. Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication. J. Emerging Technol. Comput. Syst. 7, 2. Google ScholarDigital Library
- Z. Li, M. Mohamed, X. Chen, E. Dudley, K. Meng, L. Shang, A. Mickelson, R. Joseph, M. Vachharajani, B. Schwartz, and Y. Sun. 2011b. Reliability modeling and management of nanophotonic on-chip networks. IEEE Trans. VLSI Syst. 20, 1, 98--111. Google ScholarDigital Library
- D. Miller, J. Weiner, and D. Chemla 1986. For a summary of work on quantum well electroabsorption and further discussion of qcse theory. IEEE J. Quantum Electron. 22, 1816.Google ScholarCross Ref
- A. Morgenshtein, I. Cidon, A. Kolodny, and R. Ginosar. 2004. Comparative analysis of serial vs parallel links. In Proceedings of the International Symposium on System-on-Chip (SSOC'04). 185--188.Google Scholar
- R. Morris and A. Kodi. 2010. Exploring the design of 64- and 256-core power efficient nanophotonic interconnect. IEEE J. Select. Topics Quantum Electron. 16, 5, 1386--1393.Google ScholarCross Ref
- I. Oconnor. 2004. Optical solutions for system-level interconnect. In Proceedings of the 6th International Workshop on System Level Interconnect Prediction (SLIP'04). ACM Press, New York, 79--88. Google ScholarDigital Library
- U. Ogras and R. Marculescu. 2006. Its a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans. VLSI Syst. 14, 7, 693--706. Google ScholarDigital Library
- J. Owens, W. Dally, R. Ho, D. Jayasimha, S. Keckler, and L.-S. Peh. 2007. Research challenges for on-chip interconnection networks. IEEE Micro 27, 5, 96--108. Google ScholarDigital Library
- A. Pappu and A. Apsel. 2005. Analysis of intrachip electrical and optical fanout. Appl. Optics 44, 30, 6361--6372.Google ScholarCross Ref
- Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. 2009. Firefly: Illuminating future network-on-chip with nanophotonics. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09). 429--440. Google ScholarDigital Library
- S. Pasricha and S. Bahirat. 2011. OPAL: A multi-layer hybrid photonic noc for 3d ics. In Proceedings of IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC'11). Google ScholarDigital Library
- S. Pasricha, F. Kurdahi, and N. Dutt. 2008. System level performance analysis of carbon nanotube global interconnects for emerging chip multiprocessors. In Proceedings of the IEEE International Symposium on Nanoscale Architectures (NANOARCH'08). 1--7. Google ScholarDigital Library
- S. Pasricha and N. Dutt. 2008a. ORB: An on-chip optical ring bus communication architecture for multi-processor systems-onchip. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'08). Google ScholarDigital Library
- S. Pasricha and N. Dutt. 2008b. Trends in emerging on-chip interconnect technologies. IPSJ Trans. Syst. LSI Des. Methodol. 1, 2--17.Google ScholarCross Ref
- S. Pasricha and N. Dutt. 2008c. On-Chip Communication Architectures. Morgan Kauffman. Google ScholarDigital Library
- R. Patti. 2006. Three-dimensional integrated circuits and the future of system-on-chip designs. Proc. IEEE 94, 6, 1214--1224.Google ScholarCross Ref
- D. Pham, S. Asano, M. Bolliger, M. Day, H. Hofstee, C. Johns, J. Kahle, A. Kameyama, J. Keaty, Y. Masubuchi, M. Riley, D. Shippy, D. Stasiak, M. Suzuoki, M. Wang, J. Warnock, S. Weitzel, D. Wendel, T. Yamazaki, and K. Yazawa. 2005. The design and implementation of a first-generation cell processor. http://www.research.ibm.com/cellcompiler/papers/pham-ISSCC05.pdf.Google Scholar
- R. Ramaswami and K. Sivarajan. 2002. Optical Networks: A Practical Perspective. 2nd Ed. Morgan Kaufmann. Google ScholarDigital Library
- M. Saneei, A. Afzali-Kusha, and M. Pedram. 2008. Two high performance and low power serial communication interfaces for on-chip interconnects. Canadian J. Electrical Comput. Engin. 34, 1/2, 49--56.Google Scholar
- C. Schow, F. Doany, O. Liboiron-Ladouceur, C. Baks, D. Kuchta, L. Schares, R. John, and J. Kash. 2007. 160-gb/s, 16-channel full-duplex, single-chip cmos optical transceiver. In Proceedings of the Optical Fiber Communication Conference.Google Scholar
- A. Shacham, K. Bergman, and L. Carloni. 2008. Photonic networks-on chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9, 1246--1260. Google ScholarDigital Library
- A. Shacham, K., Bergman, and L. Carloni. 2007. The case for low-power photonic networks on chip. In Proceedings of the 44th Annual Design Automation Conference (DAC'07). 132--135. Google ScholarDigital Library
- N. Srivastava and V. Banerjee. 2005. Performance analysis of carbon nanotube interconnects for vlsi applications. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'05). 383--390. Google ScholarDigital Library
- ST-Micro. 2014. http://www.st.com/.Google Scholar
- M. Tan, P. Rosenberg, Y. Jong-Souk, M. McLaren, S. Mathai, T. Morris, K. Pei, J. Straznicky, N. Jouppi, and S. Wang. 2008. A high-speed optical multi-drop bus for computer interconnections. In Proceedings of the 16th IEEE Symposium on High Performance Interconnects. 3--10. Google ScholarDigital Library
- Tilera Corporation. 2007. Tilera multicore processors. http://www.tilera.com/products/processors.Google Scholar
- G. Tosik, F. Gaffiot, Z. Lisik, I. O'Connor, and F. Tissafi-Drissi. 2004. Power dissipation in optical and metallic clock distribution networks in new vlsi technologies. Proc. IEEE Electron. Lett. 40, 3, 198--200.Google ScholarCross Ref
- S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar. 2007. An 80-tile 1.28 tflops network-on-chip in 65 nm cmos. In Proceedings of the IEEE International Solid State Circuits Conference.Google Scholar
- D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. Beausoleil, and J. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA'08). 153--164. Google ScholarDigital Library
- Y. Vlasov and S. McNab. 2004. Losses in single-mode silicon-on-insulator strip waveguides and bends. Optical Express 12, 8.Google ScholarCross Ref
- Y. Vlasov, W. Green, and F. Xia. 2008. High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks. Nature Photonics 2, 4.Google ScholarCross Ref
- H. Wassel, D. Dai, L. Theogarajan, J. Dionne, M. Tiwari, J. Valamehr, F. Chong, and T. Sherwood. 2012. Opportunities and challenges of using plasmonic components in nanophotonic architectures. IEEE J. Emerg. Select. Topics Circ. Syst. 2, 2.Google Scholar
- M. Watts. 2008. Ultralow power silicon microdisk modulators and switches. In Proceedings of the 5th Annual Conference on Group IV Photonics.Google ScholarCross Ref
- V. Wang, G. Pei, and E. Kan. 2004. Pulsed wave interconnect. IEEE Trans. VLSI Syst. 12, 5, 453--463. Google ScholarDigital Library
- I. Wey, L. Chang, Y. Chen, S. Chang, and A. Wu. 2005. A 2gb/s high-speed scalable shift-register based on-chip serial communication design for soc applications. In Proceeding of the International Symposium on Circuits and Systems (ISCAS'05). 468--469.Google Scholar
- S. Woo and M. Ohara. 1995. The splash-2 programs: Characterization and methodological considerations. In Proceedings of the International Symposium on Computer Architecture (ISCA'95). 24--36. Google ScholarDigital Library
- WorkGroup ITRS. 2007. International Technology Roadmap for Semiconductors, 2007 Ed. ITRS Technology Working Groups.Google Scholar
- F. Xia, M. Rooks, L. Sekaric, and Y. Vlasov. 2007. Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects. Optics Express 15, 19, 11934--11941.Google ScholarCross Ref
- Y. Xie, J. Xu, J. Xu, and J. Zhang. 2011. Elimination of cross-talk in silicon-on-insulator waveguide crossings with optimized angle. Optical Engin. 50, 6.Google Scholar
- Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson. 2005. Micrometre-scale silicon electro-optic modulator. Nature Lett. 435, 325--327.Google ScholarCross Ref
- Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson. 2007. 12.5 Gbit/s carrier-injection-based silicon microring silicon modulators. Optics Express 15, 2, 430-436.Google ScholarCross Ref
- H. Zhang, V. George, and J. Rabaey. 2000. Low-swing on-chip signaling techniques: Effectiveness and robustness. IEEE Trans. VLSI Syst. 8, 3. Google ScholarDigital Library
- X. Zhang and A. Louri. 2011. A multilayer nanophotonic interconnection network for on-chip many-core communications. In Proceedings of the Design Automation Conference (DAC'11). 156--161. Google ScholarDigital Library
- D. Zhao and Y. Wang. 2008. SD-MAC: Design and synthesis of a hardware-efficient collision-free qos-aware mac protocol for wireless network-on-chip. IEEE Trans. Comput. 57, 9, 1230--1245. Google ScholarDigital Library
- L. Zheng, A. Mickelson, L. Shang, M. Vachharajani, D. Filipovic, W. Park, and Y. Sun. 2009. Spectrum: A hybrid nanophotonic-electric onchip network. In Proceedings of the Design Automation Conference (DAC'09). Google ScholarDigital Library
Index Terms
- METEOR: Hybrid photonic ring-mesh network-on-chip for multicore architectures
Recommendations
Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors
CODES+ISSS '09: Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesisIncreasing application complexity and improvements in process technology have today enabled chip multiprocessors (CMPs) with tens to hundreds of cores on a chip. Networks on Chip (NoCs) have emerged as scalable communication fabrics that can support ...
A novel NoC-based design for fault-tolerance of last-level caches in CMPs
CODES+ISSS '12: Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesisAdvances in technology scaling, coupled with aggressive voltage scaling results in significant reliability challenges for emerging Chip Multiprocessor (CMP) platforms, where error-prone caches continue to dominate the chip area. Network-on-Chip (NoC) ...
Area-efficient snoopy-aware NoC design for high-performance chip multiprocessor systems
Display Omitted A high-throughput low-latency network for snoop-based cache coherence protocol is proposed.Execution time and power consumption are reduced meanwhile area over-head is kept low.Benefits rely on the synergy between the snoop protocol and ...
Comments