skip to main content
research-article

Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors

Published:01 July 2011Publication History
Skip Abstract Section

Abstract

Integrated photonics has been slated as a revolutionary technology with the potential to mitigate the many challenges associated with on- and off-chip electrical interconnection networks. To date, all proposed chip-scale photonic interconnects have been based on the crystalline silicon platform for CMOS-compatible fabrication. However, maintaining CMOS compatibility does not preclude the use of other CMOS-compatible silicon materials such as silicon nitride and polycrystalline silicon. In this work, we investigate utilizing devices based on these deposited materials to design photonic networks with multiple layers of photonic devices. We apply rigorous device optimization and insertion loss analysis on various network architectures, demonstrating that multilayer photonic networks can exhibit dramatically lower total insertion loss, enabling unprecedented bandwidth scalability. We show that significant improvements in waveguide propagation and waveguide crossing insertion losses resulting from using these materials enables the realization of topologies that were previously not feasible using only the single-layer crystalline silicon approaches.

References

  1. Ahn, D., yin Hong, C., Liu, J., Giziewicz, W., Beals, M., Kimerling, L. C., Michel, J., Chen, J., and Kärtner, F. X. 2007. High performance, waveguide integrated ge photodetectors. Opt. Express 15, 7, 3916--3921.Google ScholarGoogle ScholarCross RefCross Ref
  2. Assefa, S., Xia, F., Bedell, S. W., Zhang, Y., Topuria, T., Rice, P. M., and Vlasov, Y. A. 2010. Cmos-integrated high-speed msm germanium waveguide photodetector. Opt. Express 18, 5, 4986--4999.Google ScholarGoogle ScholarCross RefCross Ref
  3. Batten, C., Joshi, A., Orcutt, J., Khilo, A., Moss, B., Holzwarth, C., Popovic, M., Li, H., Smith, H., Hoyt, J., Kartner, F., Ram, R., Stojanovic, V., and Asanovic, K. 2009. Building many-core processor-to-dram networks with monolithic cmos silicon photonics. IEEE Micro 29, 4, 8--21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Beausoleil, R., Ahn, J., Binkert, N., Davis, A., Fattal, D., Fiorentino, M., Jouppi, N. P., McLaren, M., Santori, C. M., Schreiber, R. S., Spillane, S. M., Vantrease, D., and Xu, Q. 2008. A nanophotonic interconnect for high-performance many-core computation. Proceedings of the Integrated Photonics and Nanophotonics Research and Applications Topical Meeting.Google ScholarGoogle Scholar
  5. Biberman, A., Lee, B. G., Sherwood-Droz, N., Lipson, M., and Bergman, K. 2010a. Broadband operation of nanophotonic router for silicon photonic networks-on-chip. Photon. Technol. Lett., IEEE 22, 12, 926--928.Google ScholarGoogle ScholarCross RefCross Ref
  6. Biberman, A., Lira, H. L., Padmaraju, K., Ophir, N., Lipson, M., and Bergman, K. 2010b. Broadband cmos-compatible silicon photonic electro-optic switch for photonic networks-on-chip. In Conference on Lasers and Electro-Optics. Proceedings of the Conference on Lasers and Electro-Optics.Google ScholarGoogle Scholar
  7. Bogaerts, W., Dumon, P., Thourhout, D. V., and Baets, R. 2007. Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides. Opt. Lett. 32, 19, 2801--2803.Google ScholarGoogle ScholarCross RefCross Ref
  8. Bradley, J. D. B., Jessop, P. E., and Knights, A. P. 2005. Silicon waveguide-integrated optical power monitor with enhanced sensitivity at 1550 nm. Appl. Phys. Lett. 86, 24, 241103--241103--3.Google ScholarGoogle ScholarCross RefCross Ref
  9. Chan, J., Hendry, G., Biberman, A., and Bergman, K. 2010a. Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. J. Lightwave Techn. 28, 9, 1305--1315.Google ScholarGoogle ScholarCross RefCross Ref
  10. Chan, J., Hendry, G., Biberman, A., and Bergman, K. 2010b. Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. J. Lightwave Techn. 28, 9.Google ScholarGoogle ScholarCross RefCross Ref
  11. Chen, L. and Lipson, M. 2009. Ultra-low capacitance and high speed germaniumphotodetectors on silicon. Opt. Express 17, 10, 7901--7906.Google ScholarGoogle ScholarCross RefCross Ref
  12. Cianchetti, M. J., Kerekes, J. C., and Albonesi, D. H. 2009. Phastlane: a rapid transit optical routing network. SIGARCH Comput. Archit. News 37, 3, 441--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Colace, L., Altieri, G., and Assanto, G. 2006. Waveguide photodetectors for the near-infrared in polycrystalline germanium on silicon. IEEE Photon. Techn. Lett. IEEE.Google ScholarGoogle ScholarCross RefCross Ref
  14. Dong, P., Preble, S. F., and Lipson, M. 2007. All-optical compact silicon comb switch. Opt. Express 15, 15, 9600--9605.Google ScholarGoogle ScholarCross RefCross Ref
  15. Fang, Q., Song, J. F., Tao, S. H., Yu, M. B., Lo, G. Q., and Kwong, D. L. 2008. Low loss (6.45db/cm) sub-micronpolycrystalline silicon waveguide integratedwith efficient sion waveguide coupler. Opt. Express 16, 9, 6425--6432.Google ScholarGoogle ScholarCross RefCross Ref
  16. Geis, M. W., Spector, S. J., Grein, M. E., Yoon, J. U., Lennon, D. M., and Lyszczarz, T. M. 2009. Silicon waveguide infrared photodiodes with >35 ghz bandwidth and phototransistors with 50 aw-1 response. Opt. Express 17, 7, 5193--5204.Google ScholarGoogle ScholarCross RefCross Ref
  17. Gondarenko, A., Levy, J. S., and Lipson, M. 2009. High confinement micron-scale silicon nitride high q ring resonator. Opt. Express 17, 14, 11366--11370.Google ScholarGoogle ScholarCross RefCross Ref
  18. Gubenko, A., Krestnikov, I., Livshtis, D., Mikhrin, S., Kovsh, A., West, L., Bornholdt, C., Grote, N., and Zhukov, A. 2007. Error-free 10 gbit/s transmission using individual fabry-perot modes of low-noise quantum-dot laser. Electron. Lett. 43, 1430--1431.Google ScholarGoogle ScholarCross RefCross Ref
  19. Hendry, G., Robinson, E., Gleyzer, V., Chan, J., Carloni, L. P., Bliss, N., and Bergman, K. 2010. Circuit-switched memory access in photonic interconnection networks for high-performance embedded computing. In Proceedings of Supercomputing10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Jambois, O., Gourbilleau, F., Kenyon, A. J., Montserrat, J., Rizk, R., and Garrido, B. 2010. Towards population inversion of electrically pumped er ions sensitized by si nanoclusters. Opt. Express 18, 3, 2230--2235.Google ScholarGoogle ScholarCross RefCross Ref
  21. Joshi, A., Batten, C., Kwon, Y.-J., Beamer, S., Shamim, I., Asanovic, K., and Stojanovic, V. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Kirman, N., Kirman, M., Dokania, R. K., Martinez, J. F., Apsel, A. B., Watkins, M. A., and Albonesi, D. H. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, Los, Alamitos, CA, 492--503. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Krishnamoorthy, A., Ho, R., Zheng, X., Schwetman, H., Lexau, J., Koka, P., Li, G., Shubin, I., and Cunningham, J. 2009. Computer systems based on silicon photonic interconnects. Proc. IEEE 97, 7, 1337--1361.Google ScholarGoogle ScholarCross RefCross Ref
  24. Lee, B., Biberman, A., Chan, J., and Bergman, K. 2010. High-performance modulators and switches for silicon photonic networks-on-chip. IEEE J. Select. Topics Quantum Electro. 16, 1, 6--22.Google ScholarGoogle ScholarCross RefCross Ref
  25. Lee, B., Biberman, A., Sherwood-Droz, N., Poitras, C., Lipson, M., and Bergman, K. 2009. High-speed 2× 2 switch for multiwavelength silicon-photonic networks-on-chip. J. Lightwave Technol. 27, 14, 2900--2907.Google ScholarGoogle ScholarCross RefCross Ref
  26. Lee, B., Chen, X., Biberman, A., Liu, X., Hsieh, I.-W., Chou, C.-Y., Dadap, J., Xia, F., Green, W., Sekaric, L., Vlasov, Y., Osgood, R., and Bergman, K. 2008a. Ultrahigh-bandwidth silicon photonic nanowire waveguides for on-chip networks. IEEE Photonics Techn. Lett. 20, 6, 398--400.Google ScholarGoogle ScholarCross RefCross Ref
  27. Lee, B. G., Biberman, A., Dong, P., Lipson, M., and Bergman, K. 2008b. All-optical comb switch for multiwavelength message routign in silicon photonic networks. IEEE Photon. Techno. Lett. 20, 10, 767--769.Google ScholarGoogle ScholarCross RefCross Ref
  28. Levy, J. S., Gondarenko, A., Foster, M. A., Turner-Foster, A. C., Gaeta, A. L., and Lipson, M. 2010. CMOS-compatible multiple-wavelength oscillator for on-chip optical interconnects. Nature Photon. 4, 37--40.Google ScholarGoogle ScholarCross RefCross Ref
  29. Liang, D. and Bowers, J. E. 2010. Recent progress in lasers on silicon. Nature Photon. 4, 511--517.Google ScholarGoogle ScholarCross RefCross Ref
  30. Liang, T. K. and Tsang, H. K. 2004. Role of free carriers from two-photon absorption in raman amplification in silicon-on-insulator waveguides. Appl. Phy. Lett. 84, 15, 2745--2747.Google ScholarGoogle ScholarCross RefCross Ref
  31. Lipson, M. 2005. Guiding, modulating, and emitting light on silicon-challenges and opportunities. J. Lightwave Technol. 23, 12, 4222--4238.Google ScholarGoogle ScholarCross RefCross Ref
  32. Lira, H. L. R., Manipatruni, S., and Lipson, M. 2009. Broadband hitless silicon electro-optic switch for on-chip optical networks. Opt. Express 17, 25, 22271--22280.Google ScholarGoogle ScholarCross RefCross Ref
  33. Liu, J., Sun, X., Camacho-Aguilera, R., Kimerling, L. C., and Michel, J. 2010. Ge-on-si laser operating at room temperature. Opt. Lett. 35, 5, 679--681.Google ScholarGoogle ScholarCross RefCross Ref
  34. Livshits, D., Yin, D., Gubenko, A., Krestnikov, I., Mikhrin, S., Kovsh, A., and Wojcik, G. 2010. Cost-effective wdm optical interconnects enabled by quantum dot comb lasers. Proc. SPIE: Optoelectron. Interconnects Compon. Integration 7607, 1.Google ScholarGoogle Scholar
  35. Magen, N., Kolodny, A., Weiser, U., and Shamir, N. 2004. Interconnect-power dissipation in a microprocessor. In Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP'04). ACM, New York, NY, 7--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Manipatruni, S., Preston, K., Chen, L., and Lipson, M. 2010. Ultra-low voltage, ultra-small mode volume silicon microring modulator. Opt. Express 18, 17, 18235--18242.Google ScholarGoogle ScholarCross RefCross Ref
  37. Manipatruni, S., Xu, Q., Schmidt, B., Shakya, J., and Lipson, M. 2007. High speed carrier injection 18 gb/s silicon micro-ring electro-optic modulator. In Proceedings of the 20th Annual Meeting of the IEEE. Lasers and Electro-Optics Society. 537--538.Google ScholarGoogle Scholar
  38. Michel, J., Liu, J., and Kimerling, L. C. 2010. High-performance ge-on-si photodetectors. Nature Photon. 4, 527--534.Google ScholarGoogle ScholarCross RefCross Ref
  39. Pan, Y. et al. 2009. Firefly: Illuminating future network-on-chip with nanophotonics. In Proceedings of the International Symposium on Computer Architecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Petracca, M., Lee, B. G., Bergman, K., and Carloni, L. 2008. Design exploration of optical interconnection networks for chip multiprocessors. In Proceedings of the 16th IEEE Symposium on High Performance Interconnects. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Popovic, M., Ippen, E., and Kartner, F. 2007. Low-loss bloch waves in open structures and highly compact, efficient si waveguide-crossing arrays. In Proceedings of the 20th Annual Meeting of the IEEE. Lasers and Electro-Optics Society, 56--57.Google ScholarGoogle Scholar
  42. Preston, K., Dong, P., Schmidt, B., and Lipson, M. 2008. High-speed all-optical modulation using polycrystalline silicon microring resonators. Appl. Phys. Lett. 92, 15, 151104.Google ScholarGoogle ScholarCross RefCross Ref
  43. Preston, K., Lee, Y. H. D., Zhang, M., and Lipson, M. 2011. Waveguide-integrated telecom-wavelength photodiode in deposited silicon. Opt. Lett. 36, 1, 52--54.Google ScholarGoogle ScholarCross RefCross Ref
  44. Preston, K., Manipatruni, S., Gondarenko, A., Poitras, C. B., and Lipson, M. 2009. Deposited silicon high-speed integratedelectro-optic modulator. Opt. Express 17, 7, 5118--5124.Google ScholarGoogle ScholarCross RefCross Ref
  45. Preston, K., Schmidt, B., and Lipson, M. 2007. Polysilicon photonic resonators for large-scale 3d integration of optical networks. Opt. Express 15, 25, 17283--17290.Google ScholarGoogle ScholarCross RefCross Ref
  46. Robinson, J. T., Preston, K., Painter, O., and Lipson, M. 2008. First-principle derivation of gain in high-index-contrast waveguides. Opt. Express 16, 21, 16659--16669.Google ScholarGoogle ScholarCross RefCross Ref
  47. Shacham, A., Bergman, K., and Carloni, L. P. 2007. On the design of a photonic network-on-chip. In Proceedings of the 1st International Symposium on Networks-on-Chip (NOCS'07). IEEE Computer Society, Los Alamitos, CA, 53--64. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. Shaw, M. J., Guo, J., Vawter, G. A., Habermehl, S., and Sullivan, C. T. 2005. Fabrication techniques for low-loss silicon nitride waveguides. Proc. SPIE: Micromachining Technology for Micro-Optics and Nano-Optics III 5720, 1, 109--118.Google ScholarGoogle Scholar
  49. Soref, R. A. and Bennett, B. R. 1987. Electrooptical effects in silicon. IEEE J. Quantum Electron., 23, 1, 123--129.Google ScholarGoogle ScholarCross RefCross Ref
  50. Sun, R., Beals, M., Pomerene, A., Cheng, J., yin Hong, C., Kimerling, L., and Michel, J. 2008. Impedance matching vertical optical waveguide couplers for dense high index contrast circuits. Opt. Express 16, 16, 11682--11690.Google ScholarGoogle ScholarCross RefCross Ref
  51. Van Campenhout, J., Liu, L., Romeo, P., Van Thourhout, D., Seassal, C., Regreny, P., Di Cioccio, L., Fedeli, J.-M., and Baets, R. 2008. A compact soi-integrated multiwavelength laser source based on cascaded inp microdisks. IEEE Photon. Technol. Lett.Google ScholarGoogle ScholarCross RefCross Ref
  52. Vantrease, D., Schreiber, R., Monchiero, M., McLaren, M., Jouppi, N. P., Fiorentino, M., Davis, A., Binkert, N., Beausoleil, R. G., and Ahn, J. H. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the International Symposium Computer Architecture, 153--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. Vivien, L., Osmond, J., Fédéli, J.-M., Marris-Morini, D., Crozat, P., Damlencourt, J.-F., Cassan, E., Lecunff, Y., and Laval, S. 2009. 42 ghz p.i.n germanium photodetector integrated in a silicon-on-insulator waveguide. Opt. Express 17, 8, 6252--6257.Google ScholarGoogle ScholarCross RefCross Ref
  54. Xia, F., Sekaric, L., and Vlasov, Y. 2007. Ultracompact optical buffers on a silicon chip. Nature Photon. 1, 65--71.Google ScholarGoogle ScholarCross RefCross Ref
  55. Xu, D.-X., Delâge, A., McKinnon, R., Vachon, M., Ma, R., Lapointe, J., Densmore, A., Cheben, P., Janz, S., and Schmid, J. H. 2010. Archimedean spiral cavity ring resonators in silicon as ultra-compact optical comb filters. Opt. Express 18, 3, 1937--1945.Google ScholarGoogle ScholarCross RefCross Ref
  56. Xu, F. and Poon, A. W. 2008. Silicon cross-connect filters using microring resonator coupled multimode-interference-based waveguide crossings. Opt. Express 16, 12, 8649--8657.Google ScholarGoogle ScholarCross RefCross Ref
  57. Xu, Q., Fattal, D., and Beausoleil, R. G. 2008. Silicon microring resonators with 1.5-μm radius. Opt. Express 16, 6, 4309--4315.Google ScholarGoogle ScholarCross RefCross Ref
  58. Yariv, A. 2000. Universal relations for coupling of optical power between microresonators and dielectric waveguides. Electron. Lett. 36, 4, 321--322.Google ScholarGoogle ScholarCross RefCross Ref
  59. Yerci, S., Li, R., and Negro, L. D. 2010. Electroluminescence from er-doped si-rich silicon nitride light emitting diodes. Appl. Phys. Lett. 97, 8, 081109.Google ScholarGoogle ScholarCross RefCross Ref
  60. Yin, T., Cohen, R., Morse, M. M., Sarid, G., Chetrit, Y., Rubin, D., and Paniccia, M. J. 2007. 31 ghz ge n-i-p waveguide photodetectors on silicon-on-insulator substrate. Opt. Express 15, 21, 13965--13971.Google ScholarGoogle ScholarCross RefCross Ref
  61. Young, I., Mohammed, E., Liao, J., Kern, A., Palermo, S., Block, B., Reshotko, M., and Chang, P. 2010. Optical i/o technology for tera-scale computing. IEEE J. Solid-State Circuits, 45, 1, 235--248.Google ScholarGoogle ScholarCross RefCross Ref
  62. Zheng, X., Lexau, J., Luo, Y., Thacker, H., Pinguet, T., Mekis, A., Li, G., Shi, J., Amberg, P., Pinckney, N., Raj, K., Ho, R., Cunningham, J. E., and Krishnamoorthy, A. V. 2010. Ultra-low-energy all-cmos modulator integrated with driver. Opt. Express 18, 3, 3059--3070.Google ScholarGoogle ScholarCross RefCross Ref
  63. Zhu, S., Lo, G. Q., and Kwong, D. L. 2010. Low-loss amorphous silicon wire waveguide for integrated photonics: effect of fabrication process and the thermal stability. Opt. Express 18, 24, 25283--25291.Google ScholarGoogle ScholarCross RefCross Ref
  64. Zortman, W. A., Watts, M. R., Trotter, D. C., Young, R. W., and Lentine, A. L. 2010. Low-power high-speed silicon microdisk modulators. In Conference on Lasers and Electro-Optics. Proceedings of the Conference on Lasers and Electro-Optics.Google ScholarGoogle Scholar

Index Terms

  1. Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image ACM Journal on Emerging Technologies in Computing Systems
              ACM Journal on Emerging Technologies in Computing Systems  Volume 7, Issue 2
              June 2011
              123 pages
              ISSN:1550-4832
              EISSN:1550-4840
              DOI:10.1145/1970406
              Issue’s Table of Contents

              Copyright © 2011 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 1 July 2011
              • Accepted: 1 March 2011
              • Revised: 1 January 2011
              • Received: 1 August 2010
              Published in jetc Volume 7, Issue 2

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article
              • Research
              • Refereed

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader