skip to main content
10.1145/2786572.2786597acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
research-article

Parka: Thermally Insulated Nanophotonic Interconnects

Authors Info & Claims
Published:28 September 2015Publication History

ABSTRACT

Silicon-photonics are emerging as the prime candidate technology for energy-efficient on-chip interconnects at future process nodes. However, current designs are primarily based on microrings, which are highly sensitive to temperature. As a result, current silicon-photonic interconnect designs expend a significant amount of energy heating the microrings to a designated narrow temperature range, only to have the majority of the thermal energy waste away and dissipate through the heat sink, and in the process of doing so heat up the logic layer, causing significant performance degradation to the cores and inducing thermal emergencies. We propose Parka, a nanophotonic interconnect that encases the photonic die in a thermal insulator that keeps its temperature stable with low energy expenditure, while minimizing the spatial and temporal thermal coupling between logic and silicon-photonic components. Parka reduces the microring energy by 3.8--5.4x and achieves 11--23% speedup on average (34% max) depending on the cooling solution used.

References

  1. C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. W. Holzwarth, M. A. Popovic, H. Li, H. I. Smith, J. L. Hoyt, F. X. Kartner, R. J. Ram, V. Stojanovic, and K. Asanovic. Building many-core processor-to-dram networks with monolithic cmos silicon photonics. IEEE Micro, 29(4):8--21, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. Batten, A. Joshi, V. Stojanovic, and K. Asanovic. Designing chip-level nanophotonic interconnection networks. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2(2):137--153, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  3. J. Cardenas, C. Poitras, J. Robinson, K. Preston, L. Chen, and M. Lipson. Low loss etchless silicon photonic waveguides. Optics Express, 17(6):4752--4757, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  4. C. Chen and A. Joshi. Runtime management of laser power in silicon-photonic multibus noc architecture. IEEE Journal of Selected Topics in Quantum Electronics, 19(2):3700713--3700713, March 2013.Google ScholarGoogle ScholarCross RefCross Ref
  5. G. Chen, H. Chen, M. Haurylau, N. Nelson, P. M. Fauchet, E. Friedman, and D. Albonesi. Predictions of cmos compatible on-chip optical interconnect. In 7th International Workshop on System-Level Interconnect Prediction (SLIP), pages 13--20, San Francisco, CA, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W. J. Dally and T. B. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishing Inc., 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Y. Demir and N. Hardavellas. Ecolaser: An adaptive laser control for energy efficient on-chip photonic interconnects. In Proceedings of the International Symposium on Low-Power Electronics and Design, Aug. 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Y. Demir and N. Hardavellas. Lac: Integrating laser control in a photonic interconnect. In IEEE Photonics Conference (IPC), pages 28--29, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  9. Y. Demir and N. Hardavellas. Towards energy-efficient photonic interconnects. In Proceedings of Optical Interconnects XV, SPIE Photonics West, February 2015.Google ScholarGoogle Scholar
  10. X. Dong, J. Zhao, and Y. Xie. Fabrication cost analysis and cost-aware design space exploration for 3-D ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(12), December 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. European Semiconductor Industry Association (ESIA), Japan Electronics and Information Technology Industries Association (JEITA), Korean Semiconductor Industry Association (KSIA), Taiwan Semiconductor Industry Association (TSIA), and United States Semiconductor Industry Association (SIA). The international technology roadmap for semiconductors (itrs). http://www.itrs.net/, 2012 Edition.Google ScholarGoogle Scholar
  12. A. C. Fischer, S. J. Bleiker, T. Haraldsson, N. Roxhed, G. Stemme, and F. Niklaus. Very high aspect ratio through-silicon vias (tsvs) fabricated using automated magnetic assembly of nickel wires. Journal of Micromechanics and Microengineering, 22(10):105001, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  13. N. Hardavellas, S. Somogyi, T. F. Wenisch, R. E. Wunderlich, S. Chen, J. Kim, B. Falsafi, J. C. Hoe, and A. G. Nowatzyk. SimFlex: a fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture. SIGMETRICS Performance Evaluation Review, Special Issue on Tools for Computer Architecture Research, 31(4):31--35, April 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the IEEE International Symposium on Networks-on-Chip (NOCS), pages 124--133, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. Kurian, C. Sun, C.-H. Chen, J. Miller, J. Michel, L. Wei, D. Antoniadis, L.-S. Peh, L. Kimerling, V. Stojanovic, and A. Agarwal. Cross-layer energy and performance evaluation of a nanophotonic manycore processor system using real application workloads. In 26th IEEE International Parallel Distributed Processing Symposium, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd IEEE/ACM Annual International Symposium on Microarchitecture, MICRO-42, pages 469--480, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Liu, B. Leung, A. Neckar, S. O. Memik, G. Memik, and N. Hardavellas. Hardware/software techniques for dram thermal management. In Proceedings of the 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pages 515--525, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. S. Manipatruni, R. K. Dokania, B. Schmidt, N. Sherwood-Droz, C. B. Poitras, A. B. Apsel, and M. Lipson. Wide temperature range operation of micrometer-scale silicon electro-optic modulators. Opt. Lett., 33(19):2185--2187, Oct 2008.Google ScholarGoogle ScholarCross RefCross Ref
  19. B. Mondal, P. Basu, B. Reddy, H. Saha, P. Bhattacharya, and C. Roychoudhury. Oxidized macro porous silicon layer as an effective material for thermal insulation in thermal effect microsystems. In International Conference on Emerging Trends in Electronic and Photonic Devices Systems, pages 202--206, Dec 2009.Google ScholarGoogle ScholarCross RefCross Ref
  20. C. Nitta, M. Farrens, and V. Akella. Addressing system-level trimming issues in on-chip nanophotonic networks. In 17th IEEE International Symposium on High Performance Computer Architecture, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K. Padmaraju and K. Bergman. Resolving the thermal challenges for silicon microring resonator devices. Nanophotonics, 3(4--5):269--281, September 2013.Google ScholarGoogle Scholar
  22. Y. Pan, J. Kim, and G. Memik. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  23. Y. Pan, J. Kim, and G. Memik. Featherweight: low-cost optical arbitration with qos support. In Proceedings of the 44th IEEE/ACM Annual International Symposium on Microarchitecture, pages 105--116, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. Firefly: Illuminating future network-on-chip with nanophotonics. In Proceedings of the 36th Annual International Symposium on Computer Architecture, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. P. Rosenfeld, E. Cooper-Balis, and B. Jacob. Dramsim2: A cycle accurate memory system simulator. Computer Architecture Letters, 10(1):16--19, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. M. M. Sabry, A. K. Coskun, D. Atienza, T. S. Rosing, and T. Brunschwiler. Energy-efficient multiobjective thermal control for liquid-cooled 3-d stacked architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30(12):1883--1896, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. K. Sankaranarayanan, B. H. Meyer, W. Huang, R. Ribando, H. Haj-Hariri, M. R. Stan, and K. Skadron. Architectural implications of spatial thermal filtering. Integration VLSI Journal, 46(1):44--56, Jan. 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. T. Sarvey, Y. Zhang, Y. Zhang, H. Oh, and M. Bakir. Thermal and electrical effects of staggered micropin-fin dimensions for cooling of 3d microsystems. In IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), 2014.Google ScholarGoogle ScholarCross RefCross Ref
  29. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In Proceedings of the Annual International Symposium on Computer Architecture, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. C. Sun, C.-H. O. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L.-S. Peh, and V. Stojanovic. Dsent - a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In 6th IEEE/ACM International Symposium on Networks-on-Chip, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. D. Vantrease, N. L. Binkert, R. Schreiber, and M. H. Lipasti. Light speed arbitration and flow control for nanophotonic interconnects. In Proceedings of the 42nd IEEE/ACM Annual International Symposium on Microarchitecture, pages 304--315, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. Corona: System implications of emerging nanophotonic technology. In Proceedings of the 35th Annual International Symposium on Computer Architecture, pages 153--164, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. T. F. Wenisch, R. E. Wunderlich, M. Ferdman, A. Ailamaki, B. Falsafi, and J. C. Hoe. SimFlex: statistical sampling of computer system simulation. IEEE Micro, 26(4):18--31, Jul-Aug 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. T. Zhang, J. Abellan, A. Joshi, and A. Coskun. Thermal management of manycore systems with silicon-photonic networks. In Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Y. Zhang, H. Oh, and M. Bakir. Within-tier cooling and thermal isolation technologies for heterogeneous 3d ics. In 2013 IEEE International 3D Systems Integration Conference (3DIC), pages 1--6, Oct 2013.Google ScholarGoogle Scholar
  36. J. Zhao, X. Dong, and Y. Xie. Cost-aware three-dimensional (3d) manycore multiprocessor design. In 47th ACM/IEEE Design Automation Conference, DAC-2010, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. L. Zhou and A. Kodi. Probe: Prediction-based optical bandwidth scaling for energy-efficient nocs. In Seventh IEEE/ACM International Symposium on Networks on Chip (NoCS), pages 1--8, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  38. W. Zortman, A. Lentine, D. Trotter, and M. Watts. Integrated cmos compatible low power 10gbps silicon photonic heater-modulator. In National Fiber Optic Engineers Conference and Optical Fiber Communication Conference and Exposition (OFC/NFOEC), pages 1--3, March 2012.Google ScholarGoogle ScholarCross RefCross Ref

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    NOCS '15: Proceedings of the 9th International Symposium on Networks-on-Chip
    September 2015
    233 pages
    ISBN:9781450333962
    DOI:10.1145/2786572

    Copyright © 2015 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 28 September 2015

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited

    Acceptance Rates

    Overall Acceptance Rate14of44submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader