skip to main content
article

Predictive technology model for nano-CMOS design exploration

Published:01 April 2007Publication History
Skip Abstract Section

Abstract

A predictive MOSFET model is critical for early circuit design research. In this work, a new generation of Predictive Technology Model (PTM) is developed, covering emerging physical effects and alternative structures, such as the double-gate device (i.e., FinFET). Based on physical models and early stage silicon data, PTM of bulk and double-gate devices are successfully generated from 130nm to 32nm technology nodes, with effective channel length down to 13nm. By tuning only ten primary parameters, PTM can be easily customized to cover a wide range of process uncertainties. The accuracy of PTM predictions is comprehensively verified with published silicon data: the error of the current is below 10% for both NMOS and PMOS. Furthermore, the new PTM correctly captures process sensitivities in the nanometer regime. PTM is available online at http://www.eas.asu.edu/~ptm.

References

  1. Agostinelli, Y. M., Yeric, G. M., and Tasch, A. F. 1993. Universal MOSFET hole mobility degradation models for circuit simulation. IEEE Trans. Comput.-Aid. Desig. Integrat. Circuits Syst. 12, 3 (March), 439--445.Google ScholarGoogle Scholar
  2. Bai, P., Auth, C., Balakrishnan, S., Bost, M., Brain, R., Chikarmane, V., Heussner, R., Hussein, M., Hwang, J., Ingerly, D., James, R., Jeong, J., Kenyon, C., Lee, E., Lee, S.-H., Lindert, N., Liu, M., Ma, Z., Marieb, T., Murthy, A., Nagisetty, R., Natarajan, S., Neirynck, J., Ott, A., Parker, C., Sebastian, J., Shaheed, R., Sivakumar, S., Steigerwald, J., Tyagi, S., Weber, C., Woolery, B., Yeoh, A., Zhang, K., and Bohr, M. 2004. A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57μm2 SRAM cell. In Proceedings of International Electron Devices Meeting. 657--660.Google ScholarGoogle Scholar
  3. Bohr, M., Ahmed, S. S., Ahmed, S. U., Bost, M., Ghani, T., Greason, J., Hainsey, R., Jan, C., Packan, P., Sivakumar, S., Thompson, S., Tsai, J., and Yang, S. 1996. A high performance 0.25μm logic technology optimized for 1.8V operation. In Proceedings of International Electron Devices Meeting. 847--850.Google ScholarGoogle Scholar
  4. Cao, Y., Sato, T., Orshansky, M., Sylvester, D., and Hu, C. 2000. New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation. In Proceedings of Custom Integrated Circuits Conference. 201--204.Google ScholarGoogle Scholar
  5. Chan, V., Rengarajan, R., Rovedo, N., Jin, W., Hook, T., Nguyen, P., Chen, J., Nowak, E., Chen, X.-D., Lea, D., Chakravarti, A., Ku, V., Yang, S., Steegen, A., Baiocco, C., Shafer, P., Ng, H., Huang, S.-F., and Wann, C. 2003. High speed 45nm gate length CMOSFETs integrated into a 90nm bulk technology incorporating strain engineering. In Proceedings of International Electron Devices Meeting. 77--80.Google ScholarGoogle Scholar
  6. Chang, L., Choi, Y.-K., Ha, D., Ranade, P., Xiong, S., Bokor, J., Hu, C., and King, T.-J. 2003. Extremely scaled silicon nano-CMOS devices. Proceedings of the IEEE 91, 11 (Nov.), 1860--1873.Google ScholarGoogle Scholar
  7. Fossum, J. G., Chowdhury, M. M., Trivedi, V. P., King, T.-J., Choi, Y.-K., An, J., and Yu, B. 2003. Physical insights on design and modeling of nanoscale FinFETs. In Proceedings of International Electron Devices Meeting. 679--682.Google ScholarGoogle Scholar
  8. Goto, K., Satoh, S., Ohta, H., Fukuta, S., Yamamoto, T., Mori, T., Tagawa, Y., Sakuma, T., Saiki, T., Shimamune, Y., Katakami, A., Hatada, A., Morioka, H., Hayami, Y., Inagaki, S., Kawamura, K., Kim, Y., Kokura, H., Tamura, N., Horiguchi, N., Kojima, M., Sugii, T., and Hashimoto, K. 2004. Technology booster using strain-enhancing laminated SiN (SELS) for 65nm node HP MPU. In Proceedings of International Electron Devices Meeting. 209--212.Google ScholarGoogle Scholar
  9. Goto, K., Tagawa, Y., Ohta, H., Morioka, H., Pidin, S., Momiyama, Y., Kokura, H., Inagaki, S., Tamura, N., Hori, M., Mori, T., Kase, M., Hashimoti, K., Kojima, M., and Sugii, T. 2003. High performance 25nm gate CMOSFETs for 65nm node high speed MPUs. In Proceedings of International Electron Devices Meeting. 623--626.Google ScholarGoogle Scholar
  10. Hargrove, M., Crowder, S., Nowak, E., Logan, R., Han, L. K., Ng, H., Ray, A., Sinitsky, D., Smeys, P., Guarin, F., Oberschmidt, J., Crabbe, E., Yee, D., and Su, L. 1998. High-performance sub-0.08μm CMOS with dual gate oxide and 9.7ps inverter delay. In Proceedings of International Electron Devices Meeting. 627--630.Google ScholarGoogle Scholar
  11. Huang, S.-F., Lin, C.-Y., Huang, Y.-S., Schafbauer, T., Eller, M., Cheng, Y.-C., Cheng, S.-M., Sportouch, S., Jin, W., Rovedo, N., Grassmann, A., Huang, Y., Brighten, J., Liu, C. H., Ehrenwall, B., Chen, N., Chen, J., Park, O S., Commons, M., Thomas, A., Lee, M.-T., Rauch, S., Clevenger, L., Kaltalioglu, E., Leung, P., Chen, J., Schiml, T., and Wann, C. 2001. High performance 50nm CMOS devices for microprocessor and embedded processor core applications. In Proceedings of International Electron Devices Meeting. 237--240.Google ScholarGoogle Scholar
  12. Huang, X., Lee, W.-C., Kuo, C., Hisamoto, D., Chang, L., Kedzierski, J., Anderson, E., Takeuchi, H., Choi, Y.-K., Asano, K., Subramanian, V., King, T.-J., Bokor, J., and Hu, C. 1999. Sub-50nm FinFET: PFET. In Proceedings of International Electron Devices Meeting. 67--70.Google ScholarGoogle Scholar
  13. ITRS 2005. The International Technology Roadmap for Semiconductors.Google ScholarGoogle Scholar
  14. Kedzierski, J., Fried, D.M., Nowak, E.J., Kanarsky, T., Rankin, J.H., Hanafi, H., Natzle, W., Boyd, D., Zhang, Y., Roy, R.A., Newbury, J., Yu, C., Yang, Q., Saunders, P., Willets, C. P., Johnson, A., Cole, S. P., Young, H. E., Carpenter, N., Rakowski, D., Rainey, B. A., Cottrell, P. E., Ieong, M., and Wong, H.-S. P. 2001. High-performance symmetric-gate and CMOS compatible Vt symmetric-gate FinFET devices. In Proceedings of International Electron Devices Meeting. 497--444.Google ScholarGoogle Scholar
  15. Lin, C.-H., Dunga, M., Balasubramanian, S., Niknejad, A. M., Hu, C., Xi, X., He, J., Chang, L., Williams, R. Q., Ketchen, M. B., Haensch, W. E., and Chan, M. 2005. Compact modeling of FinFETs featuring independent-gate operation mode. In Proceedings of International Symposium on VLSI Technology, Systems, and Applications. 120--121.Google ScholarGoogle Scholar
  16. Lundstrom, M. 1997. Elementary scattering theory of the Si MOSFET. IEEE Electron Device Letter 18, 7 (July), 361--363.Google ScholarGoogle Scholar
  17. Luo, Z., Steegen, A., Eller, M., Mann, R., Baiocco, C., Nguyen, P., Kim, L., Hoinkis, M., Ku, V., Klee, V., Jamin, F., Wrschka, P., Shafer, P., Lin, W., Fang, S., Ajmera, A., Tan, W., Park, D., Mo, R., Lian, J., Vietzke, D., Coppock, C., Vayshenker, A., Hook, T., Chan, V., Kim, K., Cowley, A., Kim, S., Kaltalioglu, E., Zhang, B., Marokkey, S., Lin, Y., Lee, K., Zhu, H., Weybright, M., Rengarajan, R., Ku, J., Schiml, T., Sudijono, J., Yang, I., and Wann, C. 2004. High performance and low power transistors integrated in 65nm bulk CMOS technology. In Proceedings of International Electron Devices Meeting. 661--664.Google ScholarGoogle Scholar
  18. Mehrotra, M., Wu, J., Jain, A., Laaksonen, T., Kim, K., Bather, W., Koshy, R., Chen, J., Jacobs, J., Ukraintsev, V., Olsen, L., DeLoach, J., Mehigan, J., Agarwal, R., Walsh, S., Sekel, D., Tsung, L., Vaidyanathan, M., Trentman, B., Liu, K., Aur, S., Khamankar, R., Nicollian, P., Jiang, Q., Xu, Y., Campbell, B., Tiner, P., Wise, R., Scott, D., and Rodder, M. 2002. 60nm gate length dual-Vt CMOS for high performance applications. In Proceedings of International Symposium on VLSI Technology. 124--125.Google ScholarGoogle Scholar
  19. Miyama, M., Kamohara, S., Hiraki, M., Onozawa, K., and Kunitomo, H. 2001. Pre-silicon parameter generation methodology using BSIM3 for circuit performance-oriented device optimization. IEEE Trans. Semicond. Manuf. 14, 2, 134--142.Google ScholarGoogle Scholar
  20. Ohta, H., Kim, Y., Shimamune, Y., Sakuma, T., Hatada, A., Katakami, A., Soeda, T., Kawamura, K., Kokura, H., Morioka, H., Watanabe, T., Oh, J., Hayami, Y., Ogura, J., Tajima, M., Mori, T., Tamura, N., Kojima, M., and Hashimoto, K. 2005. High performance 30nm gate bulk CMOS for 45nm node with Σ-shaped SiGe-SD. In Proceedings of International Electron Devices Meeting. 6--0.Google ScholarGoogle Scholar
  21. Orshansky, M., An, J., Jiang, C., Liu, B., Riccobene, C., and Hu, C. 2001. Efficient generation of pre-silicon MOS model parameters for early circuit design. IEEE J. Solid-State Circuits 36, 1, 156--159.Google ScholarGoogle Scholar
  22. Rodder, M., Aur, S. and Chen, I.-C. 1995. A scaled 1.8V, 0.18μm gate length CMOS technology: device design and reliability considerations. In Proceedings of International Electron Devices Meeting. 415--418.Google ScholarGoogle Scholar
  23. Rodder, M., Hanratty, M., Rogers, D., Laaksonen, T., Hu, J. C., Murtaza, S., Chao, C.-P., Hattangady, S., Aur, S., Amerasekera, A., and Chen, I.-C. 1997. A 0.10μm gate length CMOS technology with 30Å gate dielectric for 1.0V--1.5V applications. In Proceedings of International Electron Devices Meeting. 223--226.Google ScholarGoogle Scholar
  24. Rodder, M., Hattangady, S., Yu, N., Shiau, W., Nicollian, P., Laaksonen, T., Chao, C. P., Mehrotra, M., Lee, C., Murtaza, S., and Aur, S. 1998. A 1.2V, 0.1μm gate length CMOS technology: Design and process issues. In Proceedings of International Electron Devices Meeting. 623--626.Google ScholarGoogle Scholar
  25. Rodder, M., Hong, Q. Z., Nandakumar, M., Aur, S., Hu, J. C., and Chen, I.-C. 1996. A sub-0.18μm gate length CMOS technology for high performance (1.5V) and low power (1.0V). In Proceedings of International Electron Devices Meeting. 563--566.Google ScholarGoogle Scholar
  26. Sinitsky, D. 1997. Physics of future very large-scale integration (VLSI) MOSFETs. Ph.D. dissertation, University of California, Berkeley, CA.Google ScholarGoogle Scholar
  27. Su, L., Schulz, R., Adkisson, J., Beyer, K., Biery, G., Cote, W., Crabbe, E., Edelstein, D., Ellis-Monaghan, J., Eld, E., Foster, D., Gehres, R., Goldblatt, R., Greco, N., Guenther, C., Heidenreich, J., Herman, J., Kiesling, D., Lin, L., Lo, S.-H., McKenna, J., Megivern, C., Ng, H., Oberschmidt, J., Ray, A., Rohrer, N., Tallman, K., Wagner, T., and Davari, B. 1998. A high-performance sub-0.25μm CMOS technology with multiple threshold and copper interconnects. In Proceedings of International Symposium on VLSI Technology. 18--19.Google ScholarGoogle Scholar
  28. Thompson, S., Alavi, M., Arghavani, R., Brand, A., Bigwood, R., Brandenburg, J., Crew, B., Dubin, V., Hussein, M., Jacob, P., Kenyon, C., Lee, E., Mcintyre, B., Ma, Z., Moon, P., Nguyen, P., Prince, M., Schweinfurth, R., Sivakumar, S., Smith, P., Stettler, M., Tyagi, S., Wei, M., Xu, J., Yang, S., and Bohr, M. 2001. An enhanced 130nm generation logic technology featuring 60nm transistors optimized for high performance and low power at 0.7--1.4 V. In Proceedings of International Electron Devices Meeting. 257--260.Google ScholarGoogle Scholar
  29. Tyagi, S., Alavi, M., Bigwood, R., Bramblett, T., Brandenburg, J., Chen, W., Crew, B., Hussein, M., Jacob, P., Kenyon, C., Lo, C., McIntyre, B., Ma, Z., Moon, P., Nguyen, P., Rumaner, L., Schweinfurth, R., Sivakumar, S., Stettler, M., Thompson, S., Tufts, B., Xu, J., Yang, S., and Bohr, M. 2000. A 130nm generation logic technology featuring 70nm transistors dual Vt transistors and 6 layers of Cu interconnects. In Proceedings of International Electron Devices Meeting. 567--570.Google ScholarGoogle Scholar
  30. Vasanth, K., Krick, J., Unnidrishnan, S., Nandakumar, M., Jacobs, J., Ehnis, P., Green, K., Machala, C., and Vrotsos, T. 1999. Predictive BSIM3v3 modeling for the 0.15--0.18μm CMOS technology node: A process DOE-based approach. In Proceedings of International Electron Devices Meeting. 353--356.Google ScholarGoogle Scholar
  31. Wan, H., Xi, X., Niknejad, A. M., and Hu, C. 2003. BSIM SOI Manual. The Device Group, University of California, Berkeley, CA.Google ScholarGoogle Scholar
  32. Wu, C. C., Leung, Y. K., Chang, C. S., Tsai, M. H., Huang, H. T., Lin, D. W., Sheu, Y. M., Hsieh, C. H., Liang, W. J., Han, L. K., Chen, W. M., Chang, S. Z., Wu, S. Y., Lin, S. S., Lin, H. C., Wang, C. H., Wang, P. W., Lee, T. L., Fu, C. Y., Chang, C. W., Chen, S. C., Jang, S. M., Shue, S. L., Lin, H. T., See, Y. C., Mii, Y. J., Diaz, C. H., Lin, B. J., Liang, M. S., and Sun, Y. C. 2002. A 90-nm CMOS device technology with high-speed, general-purpose and low-leakage transistors for system on chip applications. In Proceedings of International Electron Devices Meeting. 65--68.Google ScholarGoogle Scholar
  33. Xi, X., Dunga, M., He, J., Liu, W., Cao, K., Jin, X., Ou, J. J., Chan, M., Niknejad, A. M., and Hu, C. 2005. BSIM4 Manual. University of California, Berkeley, CA.Google ScholarGoogle Scholar
  34. Yang, F.-L., Chen, H.-Y., Chan, Y.-L., Yang, K.-N., Chen, C.-J., Tao, H.-J., Choi, Y.-K., Liang, M.-S., and Hu, C. 2002. 35nm CMOS FinFETs. In Proceedings of International Symposium on VLSI Technology. 104--105.Google ScholarGoogle Scholar
  35. Yeric, G. M., Tasch, A. F., and Banerjee, S. K. 1990. A universal MOSFET mobility degradation model for circuit simulation. IEEE Trans. Comput.-Aid. Desig. Integrat. Circuits Syst. 9, 10 (Oct.), 1123--1126.Google ScholarGoogle Scholar
  36. Young, K. K., Wu, S. Y., Wu, C. C., Wang, C. H., Lin, C. T., Cheng, J. Y., Chiang, M., Chen, S. H., Lo, T. C., Chen, Y. S., Chen, J. H., Chen, L. J., Hou, S. Y., Law, J. J., Chang, T. E., Hou, C. S., Shih, J., Jeng, S. M., Hsieh, H. C., Ku, Y., Yen, T., Tao, H., Chao, L. C., Shue, S., Jang, S. M., Ong, T. C., Yu, C. H., Liang, M. S., Diaz, C. H., and Sun, J. Y. C. 2000. A 0.13μm CMOS technology with 193 nm lithography and Cu/low-k for high performance applications. In Proceedings of International Electron Devices Meeting. 563--566.Google ScholarGoogle Scholar
  37. Zhao, W. and Cao, Y. 2006. New generation of predictive technology model for sub-45nm design exploration. In Proceedings of International Symposium on Quality Electronic Design. 717--722. Google ScholarGoogle Scholar

Index Terms

  1. Predictive technology model for nano-CMOS design exploration

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader