skip to main content
research-article
Free Access

TL-plane-based multi-core energy-efficient real-time scheduling algorithm for sporadic tasks

Authors Info & Claims
Published:26 January 2012Publication History
Skip Abstract Section

Abstract

As the energy consumption of multi-core systems becomes increasingly prominent, it's a challenge to design an energy-efficient real-time scheduling algorithm in multi-core systems for reducing the system energy consumption while guaranteeing the feasibility of real-time tasks. In this paper, we focus on multi-core processors, with the global Dynamic Voltage Frequency Scaling (DVFS) and Dynamic Power Management (DPM) technologies. In this setting, we propose an energy-efficient real-time scheduling algorithm, the Time Local remaining execution plane based Dynamic Voltage Frequency Scaling (TL-DVFS). TL-DVFS utilizes the concept of Time Local remaining execution (TL) plane to dynamically scale the voltage and frequency of a processor at the initial time of each TL plane as well as at the release time of a sporadic task in each TL plane. Consequently, TL-DVFS can obtain a reasonable tradeoff between the real-time constraint and the energy-saving while realizing the optimal feasibility of sporadic tasks. Mathematical analysis and extensive simulations demonstrate that TL-DVFS always saves more energy than existing algorithms, especially in the case of high workloads, and guarantees the optimal feasibility of sporadic tasks at the same time.

References

  1. ACPI 2011. ACPI. http://www.acpi.info.Google ScholarGoogle Scholar
  2. AlEnawy, T. and Aydin, H. 2005. Energy-aware task allocation for rate monotonic scheduling. In Proceedings of the IEEE Real Time and Embedded Technology and Applications Symposium (RTAS'05). IEEE, Los Alamitos, CA, 213--223. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Aydin, H. and Yang, Q. 2003. Energy-aware partitioning for multiprocessor real-time systems. In Proceedings of the 17th IEEE International Parallel and Distributed Processing Symposium. IEEE, Los Alamitos, CA, 22--26. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Baker, T. P. 2005. An analysis of EDF schedulability on a multiprocessor. IEEE Trans. Paral. Distrib. Syst. 16, 8, 760--768. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Bautista, D., Sahuquillo, J., Hassan, H., Petit, S., and Duato, J. 2008. A simple power-aware scheduling for multicore systems when running real-time applications. In Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS'08). IEEE, Los Alamitos, CA, 1--7.Google ScholarGoogle Scholar
  6. Bini, E. and Buttazzo, G. 2005. Measuring the performance of schedulability tests. Real-Time Syst. 30, 1-2, 129--154. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chandrakasan, A., Sheng, S., and Brodenson, R. W. 1992. Low-power cmos digital design. IEEE J. Solid-State Circuit 27, 4, 473--484.Google ScholarGoogle ScholarCross RefCross Ref
  8. Chen, J., Hsu, H., and Kuo, T. 2006. Leakage-aware energy-efficient scheduling of real-time tasks in multiprocessor systems. In Proceedings of the IEEE Real-time and Embedded Technology and Applications Symposium. IEEE, Los Alamitos, CA, 408--417. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Cho, H., Ravindran, B., and Jensen, E. 2006. An optimal real-time scheduling algorithm for multiprocessors. In Proceedings of the 27th IEEE Real-Time System Symposium (RTSS'06). IEEE, Los Alamitos, CA, 101--110. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Davis, R. and Burns, A. 2009. Priority assignment for global fixed priority pre-emptive scheduling in multiprocessor real-time systems. In Proceedings of Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 398--409. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Devadas, V. and Aydin, H. 2010. Coordinated power management of periodic real-time tasks on chip multiprocessors. In Proceedings of the International Conference on Green Computing (GREENCOMP'10). IEEE, Los Alamitos, CA, 61--72. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Dick, R., Rhodes, D., and Wolf, W. 1998. Tgff: Task graphs for free. In Proceedings of the IEEE International Workshop Hardware/Software Codesign. IEEE, Los Alamitos, CA, 97--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Dorsey, J. 2007. An integrated quad-core opteron processor. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'07). IEEE, Los Alamitos, CA, 102--103.Google ScholarGoogle ScholarCross RefCross Ref
  14. Fisher, N., Goossens, J., and Baruah, S. 2010. Optimal online multiprocessor scheduling of sporadic real-time tasks is impossible. Real-Time Syst. 45, 1-2, 26--71. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Funaoka, K., Kato, S., and Yamasaki, N. 2008. Energy-efficient optimal real-time scheduling on multiprocessors. In Proceedings of the 11th IEEE Symposium on Object Oriented Real-Time Distributed Computing (ISORC'08). IEEE, Los Alamitos, CA, 23--30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Funk, S. and Nadadur, V. 2009. Lre-tl: An optimal multiprocessor algorithm for sporadic task sets. In Proceedings of the Symposium on Real-Time and Network Systems (RTNS'09). 159--168.Google ScholarGoogle Scholar
  17. Herbert, S. and Marculescu, D. 2007. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). IEEE, Los Alamitos, CA, 38--43. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Holman, P. and Anderson, J. 2005. Adapting pfair scheduling for symmetric multiprocessors. J. Embed. Comput. 1, 4, 543--564. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Hua, S., Qu, G., and Bhattacharyya, S. 2006. Energy-efficient embedded software implementation on multiprocessor system-on-chip with multiple voltages. ACM Trans. Embed. Comput. Syst. 5, 2, 321--341. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Huang, X., Li, K., and Li, R. 2009. A energy efficient scheduling base on dynamic voltage and frequency scaling for multi-core embedded real-time system. In Proceedings of ICA3PP. Lecture Notes in Computer Science, vol. 5574, Springer, Gemany, 137--145. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Intel 2011. Intel i7 processor specifications. http://www.intel.com/products/processor/corei7/specifications. htm.Google ScholarGoogle Scholar
  22. Jejurikar, R., Pereira, C., and Gupta, R. 2004. Leakage aware dynamic voltage scaling for real-time embedded systems. In Proceedings of the Design Automation Conference. IEEE, Los Alamitos, CA, 275--280. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Kim, W., Gupta, M., Wei, G., and Brooks, D. 2008. System level analysis of fast, per-core dvfs using on-chip switching regulators. In Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA'08). IEEE, Los Alamitos, CA, 123--134.Google ScholarGoogle Scholar
  24. Kumar, R. and Hinton, G. 2009. A family of 45nm ia processors. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'09). IEEE, Los Alamitos, CA, 58--59.Google ScholarGoogle Scholar
  25. Lee, C. and Shin, K. 2004. On-line dynamic voltage scaling for hard real-time systems using the edf algorithm. In Proceedings of the 25th IEEE Real-Time Systems Symposium (RTSS'04). IEEE, Los Alamitos, CA, 319--335. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Martin, S., Flautner, K., Mudge, T., and Blaauw, D. 2002. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In Proceedings of the Conference on Computer Aided Design. IEEE, Los Alamitos, CA, 721--725. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. McCreary, H., Broyles, M., Floyd, M., et al. 2007. Energyscale for ibm power6 microprocessor based systems. IBM J. Res. Devel. 21, 6, 775--786. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. McGowen, R., Poirier, C., Bostak, C., et al. 2006. Power and temperature control on a 90-nm itanium family processor. IEEE J. Solid-State Circ. 37, 8, 229--237.Google ScholarGoogle ScholarCross RefCross Ref
  29. Mosley, L. 2008. Power delivery challenges for multicore processors. In Proceedings of CARTS.Google ScholarGoogle Scholar
  30. Naveh, A. 2006. Power and thermal management in the intel core duo processor. Intel Techn. J. 10, 2.Google ScholarGoogle ScholarCross RefCross Ref
  31. Pillai, P. and Shin, K. 2001. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of the 18th ACM Symposium on Operating Systems (SOSP'01). ACM, New York, NY, 89--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Qu, G. 2007. Power management of multicore multiple voltage embedded systems by task scheduling. In Proceedings of the IEEE International Conference on Parallel Processing Workshops (ICPPW'07). IEEE, Los Alamitos, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Rele, S., Pande, S., Onder, S., et al. 2002. Optimizing static power dissipation by functional units in superscalar processors. In Lecture Notes in Computer Science, vol. 2304. Springer, 85--100. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Seo, E., Jeong, J., Park, S., and Lee, J. 2008. Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans. Parall. Distrib. Syst. 19, 11, 1540--1552. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Yang, C., Chen, J., and Kuo, T. 2005. An approximation algorithm for energy-efficient scheduling on a chip multiprocessor. In Proceedings of the ACM/IEEE Conference of Design, Automation, and Test in Europe (DATE'05). ACM/IEEE, 468--473. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Yang, C., Chen, J., Kuo, T., and Thiele, L. 2009. An approximation scheme for energy-efficient scheduling of real-time tasks in heterogeneous multiprocessor systems. In Proceedings of the ACM/IEEE Conference of Design, Automation, and Test in Europe (DATE). ACM/IEEE, 694--699. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. TL-plane-based multi-core energy-efficient real-time scheduling algorithm for sporadic tasks

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Transactions on Architecture and Code Optimization
            ACM Transactions on Architecture and Code Optimization  Volume 8, Issue 4
            Special Issue on High-Performance Embedded Architectures and Compilers
            January 2012
            765 pages
            ISSN:1544-3566
            EISSN:1544-3973
            DOI:10.1145/2086696
            Issue’s Table of Contents

            Copyright © 2012 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 26 January 2012
            • Accepted: 1 January 2012
            • Revised: 1 November 2011
            • Received: 1 July 2011
            Published in taco Volume 8, Issue 4

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Research
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader