skip to main content
10.1145/996566.996650acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Leakage aware dynamic voltage scaling for real-time embedded systems

Published:07 June 2004Publication History

ABSTRACT

A five-fold increase in leakage current is predicted with each technology generation. While Dynamic Voltage Scaling (DVS) is known to reduce dynamic power consumption, it also causes increased leakage energy drain by lengthening the interval over which a computation is carried out. Therefore, for minimization of the total energy, one needs to determine an operating point, called the critical speed. We compute processor slowdown factors based on the critical speed for energy minimization. Procrastination scheduling attempts to maximize the duration of idle intervals by keeping the processor in a sleep/shutdown state even if there are pending tasks, within the constraints imposed by performance requirements. Our simulation experiments show that the critical speed slowdown results in up to 5% energy gains over a leakage oblivious dynamic voltage scaling. Procrastination scheduling scheme extends the sleep intervals to up to 5 times, resulting in up to an additional 18% energy gains, while meeting all timing requirements.

References

  1. ITRS: 2002 edition http://public.itrs.net.Google ScholarGoogle Scholar
  2. Berkeley Predictive Technology Models and BSIM4 http://www-device.eeecs.berkeley.edu/research.html.Google ScholarGoogle Scholar
  3. H. Aydin, R. Melhem, D. Mossé, and P. M. Alvarez. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. In Proceedings of EuroMicro Conference on Real-Time Systems, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Aydin, R. Melhem, D. Mossé, and P. M. Alvarez. Dynamic and aggressive scheduling techniques for power-aware real-time systems. In Proceedings of IEEE Real-Time Systems Symposium, December 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Borkar. Design challenges of technology scaling. In IEEE Micro, pages 23--29, Aug 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. A. Butts and G. S. Sohi. A static power model for architects. In Intl. Symposiumon Microarchitecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. B. H. Calhoun, F. A. Honore, and A. Chandrakasan. Design methodology for fine-grained leakage control in mtcmos. In Proceedings of International Symposium on Low Power Electronics and Design, pages 104--109, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. G. Carpenter. Low power soc for ibm's powerpc information appliance platform. In http://www.research.ibm.com/arl.Google ScholarGoogle Scholar
  9. D. Duarte, N. Vijaykrishnan, M. J. Irwin, and Y.-F. Tsai. Impact of technology scaling and packaging on dynamic voltage scaling techniques. In 15th Annual IEEE International ASIC/SOC Conference, September 2002.Google ScholarGoogle ScholarCross RefCross Ref
  10. K. Flautner, N. Kim, S. Martin, D. Blaauw, and T. Mudge. Drowsy caches: Simple techniques for reducing leakage power. In Proceedings of International Symposiumon Computer Architecture, June 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Z. Hu, S. Kaxiras, and M. Martonosi. Let caches decay: Reducing leakage energy via exploitation of cache generational behavior. In ACM Transactions on Computer Systems, May 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Intel PXA250/210 Processor. Intel Inc. (www.intel.com).Google ScholarGoogle Scholar
  13. S. Irani, S. Shukla, and R. Gupta. Algorithms for power savings. In Proceedings of Symposium on Discrete Algorithms, Jan. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. R. Jejurikar, C. Pereira, and R. Gupta. Leakage aware dynamic voltage scaling for real-time embedded systems. In CECS Technical Report #03-35, UC Irvine, Dec. 2003.Google ScholarGoogle Scholar
  15. M. Johnson, D. Somasekhar, and K. Roy. Models and algorithms for bounds on leakage in cmos circuits. In IEEE Transactions on CAD, pages 714--725, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. C. M. Krishna and Y. H. Lee. Voltage clock scaling adaptive scheduling techniques for low power in hard real-time systems. In Proceedings of Real-Time Technology and Applications Symposium, May 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. K. J. L. Yan, J. Luo. Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. In Proceedings of International Conference on Computer Aided Design, Nov. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. G. Lee and N. Chang. Energy-aware memory allocation in heterogeneous non-volatile memory systems. In ISLPED, pages 420--423, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Y. Lee, K. P. Reddy, and C. M. Krishna. Scheduling techniques for reducing leakage power in hard real-time systems. In EcuroMicro Conf. on Real Time Systems, 2003.Google ScholarGoogle Scholar
  20. J. W. S. Liu. Real-Time Systems. Prentice-Hall, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. C. Locke, D. Vogel, and T. Mesler. Building a predictable avionics platform in ada: a case study. In Proceedings IEEE Real-Time Systems Symposium, 1991.Google ScholarGoogle ScholarCross RefCross Ref
  22. S. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In Proc. of Intl. Conference on Computer Aided Design, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada. 1-v power supply highspeed digital circuit technology with multithreshold- voltage cmos. In IEEE Journal of Solid- State Circuits, pages 847--854, 1995.Google ScholarGoogle Scholar
  24. C. Neau and K. Roy. Optimal body bias selection for leakage improvement and process compensation over different technology generations. In Proceedings of International Symposiumon Low Power Electronics and Design, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. J. Pouwelse, K. Langendoen, and H. Sips. Energy priority scheduling for variable voltage processors. In Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pages 28--33, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. G. Quan and X. Hu. Minimum energy fixed-priority scheduling for variable voltage processors. In Proceedings of Design Automation and Test in Europe, March 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Y. Shin, K. Choi, and T. Sakurai. Power optimization of real-time embedded systems on variable speed processors. In Proceedings of International Conference on Computer Aided Design, pages 365--368, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Transmeta Crusoe Processor. Transmeta Inc. (http://www.transmeta.com/technology).Google ScholarGoogle Scholar

Index Terms

  1. Leakage aware dynamic voltage scaling for real-time embedded systems

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '04: Proceedings of the 41st annual Design Automation Conference
    June 2004
    1002 pages
    ISBN:1581138288
    DOI:10.1145/996566
    • General Chair:
    • Sharad Malik,
    • Program Chairs:
    • Limor Fix,
    • Andrew B. Kahng

    Copyright © 2004 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 7 June 2004

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader