skip to main content
10.1145/1016720.1016777acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Power analysis of system-level on-chip communication architectures

Published:08 September 2004Publication History

ABSTRACT

For complex System-on-chips (SoCs) fabricated in nanometer technologies, the system-level on-chip communication architecture is emerging as a significant source of power consumption. Managing and optimizing this important component of SoC power requires a detailed understanding of the characteristics of its power consumption.Various power estimation and low-power design techniques have been proposed for the global interconnects that form part of SoC communication architectures (e.g., low-swing buses, bus encoding, etc). While effective, they only address a limited part of communication architecture power consumption. A state-of-the-art communication architecture, viewed in its entirety, is quite complex, comprising several components, such as bus interfaces, arbiters, bridges, decoders, and multiplexers, in addition to the global bus lines. Relatively little research has focused on analyzing and comparing the power consumed by different components of the communication architecture.In this work, we present a systematic evaluation and analysis of the power consumed by a state-of-the-art communication architecture (the AMBA on-chip bus), using a commercial design flow. We focus on developing a quantitative understanding of the relative contributions of different communication architecture components to its power consumption, and the factors on which they depend. We decompose the communication architecture power into power consumed by logic components (such as arbiters, decoders, bus bridges), global bus lines (that carry address, data, and control information), and bus interfaces. We also perform studies that analyze the impact of varying application traffic characteristics, and varying SoC complexity, on communication architecture power. Based on our analyses, we evaluate different techniques for reducing the power consumed by the on-chip communication architecture, and compare their effectiveness in achieving power savings at the system level. In addition to quantitatively reinforcing the view that on-chip communication is an important target for system-level power optimization, our work demonstrates (i) the importance of considering the communication architecture in its entirety, and (ii) the opportunities that exist for power reduction through careful communication architecture design.

References

  1. R. Ho, K. W. Mai, and M. A. Horowitz, "The Future of Wires," Proc. IEEE, vol. 89, pp. 490--504, Apr. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  2. D. Sylvester and K. Keutzer, "A Global Wiring Paradigm for Deep Submicron Design," IEEE Trans. Computer-Aided Design, vol. 19, pp. 242--252, Feb. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. "AMBA 2.0 Specification." http://www.arm.com/armtech/AMBA.Google ScholarGoogle Scholar
  4. P. P. Sotiriadis and A. P. Chandrakasan, "A Bus Energy Model for Deep Submicron Technology," IEEE Trans. VLSI Systems, vol. 10, pp. 341--350, June 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. R. Stan and W. P. Burleson, "Bus Invert Coding for Low Power I/O," IEEE Trans. VLSI Systems, vol. 3, pp. 49--58, Mar. 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. L. Benini, A. Macii, M. Poncino, and R. Scarsi, "Architectures and Synthesis Algorithms for Power-efficient Bus Interfaces," IEEE Trans. Computer-Aided Design, vol. 19, pp. 969--980, Sept. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C.-T. Hsieh and M. Pedram, "Architectural Power Optimization by Bus Splitting," IEEE Trans. Computer-Aided Design, vol. 21, pp. 408--414, Apr. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Y. Chen, W. B. Jone, J. S. Wang, H. I. Lu, and T. F. Chen, "Segmented Bus Design for Low Power," IEEE Trans. VLSI Systems, vol. 7, pp. 25--29, Mar. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. T. Lv, J. Henkel, H. Lekatsas, and W. Wolf, "A Dictionary-based En/decoding Scheme for Low-power Data buses," IEEE Trans. VLSI Systems, vol. 11, pp. 943--951, Oct. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Osbourne, A. T. Erdogan, T. Arlsan, and D. Robinson, "Bus Encoding Architecture for Low-power Implementation of an AMBA-based SoC Platform," in Proc. IEE, pp. 152--156, July 2002.Google ScholarGoogle Scholar
  11. T. D. Givargis, F. Vahid, and J. Henkel, "Evaluating Power Consumption of Parameterized Cache and Bus Architectures in System-on-a-Chip Designs," IEEE Trans. VLSI Systems, vol. 9, pp. 500--508, Aug. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. W. Fornaciari, D. Sciuto, and C. Silvano, "Power Estimation for Architectural Exploration of HW/SW Communication on System-Level Buses," in Proc. Int. Symp. on HW/SW Codesign, pp. 152--256, May 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. T. Ye, L. Benini, and G. D. Micheli, "Analysis of Power Consumption on Switch Fabrics in Network Routers," in Proc. Design Automation Conf., pp. 524--529, June 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. H. Wang, X. Zhu, L. S. Peh, and S. Malik, "Orion: A Power-Performance Simulator for Interconnection Networks," in Int. Symp. on Microarchitecture, pp. 294--305, Nov. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Hu and R. Marculescu, "Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures," in Proc. Design Automation & Test Europe (DATE) Conf., Feb. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Caldari, M. Conti, M. Coppola, P. Crippa, S. Orcioni, L. Pieralisi, and C. Turchetti, "System-Level Power Analysis Methodology Applied to the AMBA AHB Bus {SoC Applications}," in Proc. Design Automation & Test Europe (DATE) Conf., pp. 32--37, Mar. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. "Designware Intellectual Property, Synopsys Inc." http://www.synopsys.com/products/designware/.Google ScholarGoogle Scholar
  18. "Designware IP Reuse Tools, Synopsys Inc." http://www.synopsys.com/products/designware/ipreuse_tools.html.Google ScholarGoogle Scholar
  19. "RTL Synthesis, Synopsys Inc." http://www.synopsys.com/products/logic/.Google ScholarGoogle Scholar
  20. "CB-12 Family L/M/H Type for Cell Based ICs." http://www.necel.com/cbic/en/product/cb12.html.Google ScholarGoogle Scholar
  21. "Modelsim 5.7e." http://www.model.com.Google ScholarGoogle Scholar
  22. NEC OpenCAD V 5.2 Users Manual. NEC Electronics, Inc., Jan. 1999.Google ScholarGoogle Scholar
  23. D. Sylvester and C. Hu, "Analytical Modeling and Characterization of Deep-Submicrometer Interconnect," Proc. IEEE, vol. 89, pp. 634--664, May 2001.Google ScholarGoogle ScholarCross RefCross Ref
  24. W. E. Donath, "Placement and Average Interconnection Lengths for Computer Logic," IEEE Trans. Circuits and Systems, vol. 26, pp. 272--277, Apr. 1979.Google ScholarGoogle ScholarCross RefCross Ref
  25. H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI. Addison-Wesley, Menlo Park, CA, 1990.Google ScholarGoogle Scholar
  26. P. P. Sotiriadis and A. P. Chandrakasan, "Bus Energy Minimization by Transition Pattern Coding (TPC) in Deep Sub-Micron Technologies," in Proc. Int. Conf. Computer-Aided Design, pp. 322--327, Nov. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Power analysis of system-level on-chip communication architectures

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      CODES+ISSS '04: Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
      September 2004
      266 pages
      ISBN:158113 9373
      DOI:10.1145/1016720

      Copyright © 2004 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 8 September 2004

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate280of864submissions,32%

      Upcoming Conference

      ESWEEK '24
      Twentieth Embedded Systems Week
      September 29 - October 4, 2024
      Raleigh , NC , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader