skip to main content
10.1145/1054943.1054959acmotherconferencesArticle/Chapter ViewAbstractPublication PageswmpiConference Proceedingsconference-collections
Article

Compiler-optimized usage of partitioned memories

Published:20 June 2004Publication History

ABSTRACT

In order to meet the requirements concerning both performance and energy consumption in embedded systems, new memory architectures are being introduced. Beside the well-known use of caches in the memory hierarchy, processor cores today also include small onchip memories called scratchpad memories whose usage is not controlled by hardware, but rather by the programmer or the compiler. Techniques for utilization of these scratchpads have been known for some time. Some new processors provide more than one scratchpad, making it necessary to enhance the workflow such that this complex memory architecture can be efficiently utilized. In this work, we present an energy model and an ILP formulation to optimally assign memory objects to different partitions of scratchpad memories at compile time, achieving energy savings of up to 22% compared to previous approaches.

References

  1. L. Eggermont. Embedded Systems Roadmap. Technical report, STW, http://www.stw.nl/progress/ESroadmap/index.html, 2002.Google ScholarGoogle Scholar
  2. H. De Man. Keynote session at DATE'02. http://www.date-conference.com/conference/keynotes/index.htm, 2002.Google ScholarGoogle Scholar
  3. P. Machanik. Approaches to Addressing the Memory Wall. Technical Report, November, Univ. Brisban, 2002.Google ScholarGoogle Scholar
  4. S. Wilton and N. Jouppi. CACTI: An enhanced access and cycle time model. Int. Journal on Solid State Circuits, 31(5):677--688, 1996.Google ScholarGoogle ScholarCross RefCross Ref
  5. R. Banakar, S. Steinke, B.-S. Lee, M. Balakrishnan, and P. Marwedel. Scratchpad Memory: A Design Alternative for Cache On-chip Memory in Embedded Systems. In 10th Int. Symp. on Hardware/Software Codesign (CODES), May 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Steinke, L. Wehmeyer, B.-S. Lee, and P. Marwedel. Assigning Program and Data Objects to Scratchpad for Energy Reduction. Design, Automation and Test in Europe (DATE), pages 409--417, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. R. Panda, N. D. Dutt, and A. Nicolau. Memory Issues in Embedded Systems-On-Chip. Kluwer Academic Publishers, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Kandemir, J. Ramanujam, M. J. Irwin, N. Vijaykrishnan, I. Kadayif, and A. Parikh. Dynamic Management of Scratch-Pad Memory Space. In Proceedings of the 2001 ACM Design Automation Conference. DAC, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Steinke, N. Grunwald, L. Wehmeyer, R. Banakar, M. Balakrishnan, and P. Marwedel. Reducing Energy Consumption by Dynamic Copying of Instructions onto Onchip Memory. Int. Symp. on System Synthesis (ISSS), pages 213--218, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Ph. Diguet, S. Wuytack, F. Catthoor, and H. De Man. Formalized Methodology for Data Reuse Exploration in Hierarchical Memory Mappings. In ISLPED 1997 Monterey CA. ACM, August 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. R. Panda, F. Catthoor, N. D. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, A. Vandercapelle, and P. G. Kjeldsberg. Data and memory optimization techniques for embedded systems. Trans. on Design Automation of Electronic Systems (TODAES), pages 149--206, April 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. ARM Ltd. ARM7TDMI Technical Reference Manual, Ref: DDI0029G. Available from http://www.arm.com, 2001.Google ScholarGoogle Scholar
  13. S. Steinke, M. Knauer, L. Wehmeyer, and P. Marwedel. An Accurate and Fine Grain Instruction-Level Energy Model Supporting Optimizations. In Proceedings of the International Workshop - Power and Timing Modeling, Optimization and Simulation, Yverdon-les-bains, Switzerland, September 2001.Google ScholarGoogle Scholar
  14. Lars Wehmeyer, Stefan Steinke, encc Compiler. Information available from: http://ls12-www.cs.uni-dortmund.de/research/encc, 2004.Google ScholarGoogle Scholar
  15. ARM Inc. ARM Documentation - ARM Processor Cores. Available from: http://www.arm.com/documentation/ARM Processor_Cores/index.html, 2004.Google ScholarGoogle Scholar
  16. Oki. Oki Global Homepage, http://www.oki.com, 2004.Google ScholarGoogle Scholar
  17. Samsung. Samsung's Digital World. http://www.samsung.com, 2004.Google ScholarGoogle Scholar
  18. Atmel Corporation. Atmel Corporation Homepage. http://www.atmel.com, 2004.Google ScholarGoogle Scholar
  19. ILOG. CPLEX. http://www.ilog.com/products/cplex.Google ScholarGoogle Scholar
  20. Manish Verma, Stefan Steinke, and Peter Marwedel. Data Partitioning for Maximal Scratchpad Usage. In Proceedings of the Asia and South Pacific Design Automation Conference, page 77, January 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    WMPI '04: Proceedings of the 3rd workshop on Memory performance issues: in conjunction with the 31st international symposium on computer architecture
    June 2004
    146 pages
    ISBN:159593040X
    DOI:10.1145/1054943

    Copyright © 2004 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 20 June 2004

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • Article

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader