skip to main content
10.1145/1055137.1055182acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article

The ISPD2005 placement contest and benchmark suite

Published:03 April 2005Publication History

ABSTRACT

Without the MCNC and ISPD98 benchmarks, it would arguably not have been possible for the academic community to make consistent advances in physical design over the last decade. While still being used extensively in placement and floorplanning research, those benchmarks can no longer be considered representative of today's (and tomorrow's) physical design challenges. In order to drive physical design research over the next few years, a new benchmark suit is being released in conjunction with the ISPD2005 placement contest. These benchmarks are directly derived from industrial ASIC designs, with circuit sizes ranging from 210 thousand to 2.1 million placeable objects. Unlike the ISPD98 benchmarks, the physical structure of these designs is completely preserved, giving realistic challenging designs for today's placement tools. Hopefully, these benchmarks will help accelerate new physical design research in the placement, floor-planning, and routing.

References

  1. S. N. Adya, S. Chaturvedi, J. A. Roy, D. A. Papa and I. L. Markov, "Unification of Partitioning, Placement and Floor-planning,", in Proc. International Conference on Computer-Aided Design, 2004, pp. 550--557. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. J. Alpert, "The ISPD98 Circuit Benchmark suite," in Proc. International Symposium on Physical Design, 1998, pp. 80--85. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. J. Alpert, G.-J. Nam and P. Villarrubia, "Effective Free Space Management for Cut-based Placement," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22(10), pp. 1343--1353, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. U. Brenner and A. Rohe, "An Effective Congestion Driven Placement Framework," in Proc. International Symposium on Physical Design, 2002, pp. 6--11. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. F. Brglez, D. Bryan and K. Kozminski, "Combinational Profiles of Sequential Benchmark Circuits", International Conference on Circuits and Systems, 1989, pp. 1929--1934.Google ScholarGoogle ScholarCross RefCross Ref
  6. T. Chan, J. Cong and K. Sze, "Multilevel Generalized Force-directed Method for Circuit Placement," in Proc. International Symposium on Physical Design, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. H. Eisenmann and F. M. Johannes, "Generic Global Placement and Floorplanning," in Proc. Design Automation Conference, 1998, pp. 425--430. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. B. Hu and M. Marek-Sadowska, "FAR: Fixed Point Addition and Relaxation-based Placement," in Proc. International Symposium on Physical Design, 2002, pp. 161--166. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. B. Kahng and Q. Wang, "Implementation and Extensibility of an Analytic Placer," in Proc. International Symposium on Physical Design, 2004, pp. 18--25. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. Khatkhate, C. Li, A. R. Agnihotri, M. C. Yildiz, S. Ono, C.-K. Koh and P. H. Madden, "Recursive Bisection Based Mixed Block Placement," in Proc. International Symposium on Physical Design, 2004, pp. 84--89. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Lou, S. Krishnamoorthy and H. S. Sheng, "Estimating Routing Congestion using Probabilistic Analysis," in Proc. International Symposium on Physical Design, 2001, pp. 112--117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. P. H. Madden, "Reporting of Standard Cell Placement Results," in Proc. International Symposium on Physical Design, 2001, pp. 30--35. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. MARCO GSRC Bookshelf Placement Formats, http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/Placement/Google ScholarGoogle Scholar
  14. W.-J. Sun and C. Sechen, "Efficient and Effective Placement for Very Large Circuits," IEEE Trans. Computer-Aided Design, vol. 14, pp. 349--359, Mar. 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. N. Viswanathan and C. C.-N. Chu, "Fastplace: Efficient Analytical Placement Using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model," in Proc. International Symposium on Physical Design, 2004, pp. 26--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Westra, C. Bartels and P. Groeneveld, "Probabilistic Congestion Prediction," in Proc. International Symposium on Physical Design, 2004, pp. 204--208. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. X. Yang, B. K. Choi and M. Sarrafzadeh, "Routability-Driven White Space Allocation for Fixed-Die Standard-Cell Placement," in Proc. International Symposium on Physical Design, 2002, pp. 42--47. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The ISPD2005 placement contest and benchmark suite

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ISPD '05: Proceedings of the 2005 international symposium on Physical design
            April 2005
            258 pages
            ISBN:1595930213
            DOI:10.1145/1055137

            Copyright © 2005 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 3 April 2005

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            Overall Acceptance Rate62of172submissions,36%

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader