skip to main content
10.1145/1118299.1118309acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
Article

Constraint-driven bus matrix synthesis for MPSoC

Published:24 January 2006Publication History

ABSTRACT

Modern multi-processor system-on-chip (MPSoC) designs have high bandwidth constraints which must be satisfied by the underlying communication architecture. Bus matrix based communication architectures consist of several parallel busses, which provide a suitable backbone to support high bandwidth systems, but suffer from high cost overhead due to extensive bus wiring inside the matrix. Manual traversal of the vast exploration space to synthesize a minimal cost bus matrix that also satisfies performance constraints is practically infeasible. In this paper, we address this problem by proposing an automated approach for synthesizing a bus matrix communication architecture which satisfies all performance constraints in the design and minimizes wire congestion in the matrix. To validate our approach, we consider several industrial strength applications from the networking domain and show that our approach results in up to 9 X component savings when compared to a full bus matrix and up to 3.2 X savings when compared to a maximally connected reduced bus matrix.

References

  1. ARM AMBA Specification (rev2.0), www.arm.com, 2001Google ScholarGoogle Scholar
  2. "IBM On-chip CoreConnect Bus Architecture", www.chips.ibm.comGoogle ScholarGoogle Scholar
  3. "STBus Communication System: Concepts and Definitions", Reference Guide, STMicroelectronics, May 2003Google ScholarGoogle Scholar
  4. "Sonics Integration Architecture, Sonics Inc", www.sonicsinc.comGoogle ScholarGoogle Scholar
  5. L. Benini, G. D. Micheli, "Networks on Chips: A New SoC Paradigm", IEEE Computers, pp. 70--78, Jan. 2002 Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Henkel, et al, "On-chip networks: A scalable, communication-centric embedded system design paradigm", VLSI Design, 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Nakajima et al. "A 400MHz 32b embedded microprocessor core AM34-1 with 4.0GB/s cross-bar bus switch for SoC", ISSCC 2002Google ScholarGoogle Scholar
  8. S. Murali, G. De Micheli, "An Application-Specific Design Methodology for STbus Crossbar Generation", DATE 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. V. Lahtinen, et al, "Comparison of synthesized bus and crossbar interconnection architectures", ISCAS 2003Google ScholarGoogle Scholar
  10. K. K Ryu, E. Shin, V. J. Mooney, "A Comparison of Five Different Multiprocessor SoC Bus Architectures", DSS 2001Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M. Loghi, et al "Analyzing On-Chip Communication in a MPSoC Environment", DATE 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Gasteier, M. Glesner "Bus-based communication synthesis on system level", ACM TODAES, January 1999 Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. S. Pasricha, N. Dutt, M. Ben-Romdhane, "Automated Throughput-driven Synthesis of Bus-based Communication Architectures", In Proc of ASPDAC 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. Pasricha, N. Dutt, E. Bozorgzadeh, M. Ben-Romdhane, "Floorplan-aware Automated Synthesis of Bus-based Communication Architectures", In Proc. of DAC 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. K. Srinivasan, et al, "Linear Programming based Techniques for Synthesis of Network-on-Chip Architectures", ICCD 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Bertozzi et al. "NoC synthesis flow for customized domain specific multiprocessor systems-on-chip", IEEE TPDS, Feb 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. O. Ogawa et al, "A Practical Approach for Bus Architecture Optimization at Transaction Level", DATE 2003 Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. SystemC initiative. www.systemc.orgGoogle ScholarGoogle Scholar
  19. S. Pasricha, N. Dutt, M. Ben-Romdhane, "Fast Exploration of Bus-based On-chip Communication Architectures", In Proc. of CODES+ISSS 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. Pasricha, N. Dutt, M. Ben-Romdhane, "Bus Matrix Communication Architecture Synthesis", CECS Technical Report 05--17, October 2005Google ScholarGoogle Scholar
  21. ARM AMBA AXI Specification www.arm.com/armtech/AXIGoogle ScholarGoogle Scholar
  22. S. Pasricha, N. Dutt, M. Ben-Romdhane, "Extending the Transaction Level Modeling Approach for Fast Communication Architecture Exploration", In Proc. of DAC 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. Lahiri et al, "Efficient exploration of the SoC communication architecture design space", ICCAD 2000 Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Pasricha, "Transaction Level Modeling of SoC with SystemC 2.0" Synopsys User Group Conference (SNUG 2002), Bangalore, May 2002Google ScholarGoogle Scholar

Index Terms

  1. Constraint-driven bus matrix synthesis for MPSoC

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ASP-DAC '06: Proceedings of the 2006 Asia and South Pacific Design Automation Conference
          January 2006
          998 pages
          ISBN:0780394518

          Publisher

          IEEE Press

          Publication History

          • Published: 24 January 2006

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          Overall Acceptance Rate466of1,454submissions,32%

          Upcoming Conference

          ASPDAC '25

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader