skip to main content
10.1145/1391469.1391598acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction

Published:08 June 2008Publication History

ABSTRACT

In this paper, we present ELIAD, an efficient lithography aware detailed router to optimize silicon image after optical proximity correction (OPC) in a correct-by-construction manner. We first propose a compact post-OPC litho-metric for a detailed router based on statistical characterization. We characterize the interferences among weak grids filled with one of predefined litho-prone shapes (e.g., jog-corner, via, line-end). Our litho-metric derived from the characterization shows high fidelity to total edge placement error (EPE) in large scale, compared with Calibre-OPC/ORC. As a chip itself is in the largest scale, ELIAD powered by the proposed metric can enhance the overall post-OPC printed silicon image. Experimental results on 65nm industrial circuits show that ELIAD outperforms a ripup/rerouting approach such as RADAR [17] with 8x more EPE hotspot reduction and 12x speedup. Also, compared with a conventional detailed router, ELIAD is only about 50% slower.

References

  1. H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han. Novel Full-Chip Gridless Routing Considering Double-Via Insertion. In Proc. Design Automation Conf., Jul 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T.-C. Chen and Y.-W. Chang. Multilevel Full-Chip Gridless Routing With Applications to Optical-Proximity Correction. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 26(6): 1041--1053. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Cho, H. Xiang, R. Puri, and D. Z. Pan. Wire Density Driven Global Routing for CMP Variation and Timing. In Proc. Int. Conf. on Computer Aided Design, Nov 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Cho, H. Xiang, R. Puri, and D. Z. Pan. TROY: Track Router with Yield-driven Wire Planning. In Proc. Design Automation Conf., Jun 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Dong, J. Zhang, and Z. Chen. Neural Network Based Algorithm for Multi-Constrained Shortest Path Problem. Springer Berlin / Heidelberg, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. E. Gbondo-Tugbawa. Chip-Scale Modeling of Pattern Dependencies in Copper Chemical Mechanical Polishing Process. PhD thesis, Massachusetts Institute of Technology, 2002.Google ScholarGoogle Scholar
  7. P. Gupta, A. B. Kahng, and C.-H. Park. Detailed Placement for Improved Depth of Focus and CD Control. In Proc. Asia and South Pacific Design Automation Conf., Jan 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. L. He, A. B. Kahng, K. Tam, and J. Xiong. Design of Integrated-Circuit Interconnects with Accurate Modeling of CMP. In Proc. SPIE 5756, Mar 2005.Google ScholarGoogle Scholar
  9. http://www.cs.mcgill.ca/~aghnei/mmain.html.Google ScholarGoogle Scholar
  10. S. Hu and J. Hu. Pattern sensitive placement for manufacturability. In Proc. Int. Symp. on Physical Design, Mar 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. L. Huang and D. F. Wong. Optical Proximity Correction (OPC)-Friendly Maze Routing. In Proc. Design Automation Conf., June 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. B. Kahng, C.-H. Park, and X. Xu. Fast Dual-Graph Based Hot-Spot Detection. In Proc. BACUS Symp. on Photomask Technology and Management, 2006.Google ScholarGoogle Scholar
  13. T. Kong, H. Leung, V. Raghavan, A. K. Wong, and S. Xu. Model-assisted routing for improved lithography robustness. In Proc. SPIE 6521, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  14. S.-Y. Kuo. YOR: a yield-optimizing routing algorithm by minimizing critical areas and vias. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 12(9): 1303--1311, Sep 1993.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. K.-Y. Lee and T.-C. Wang. Post-Routing Redundant Via Insertion for Yield/Reliability Improvement. In Proc. Asia and South Pacific Design Automation Conf., Jan 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. L. W. Liebmann. Layout impact of resolution enhancement techniques: impediment or opportunity? In Proc. Int. Symp. on Physical Design, pages 110--117, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. Mitra, P. Yu, and D. Z. Pan. RADAR: RET-Aware Detailed Routing Using Fast Lithography Simulations. In Proc. Design Automation Conf., Jun 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. D. Muller. Optimizing yield in global routing. In Proc. Int. Conf. on Computer Aided Design, Nov 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. C. Spence. Full-chip lithography simulation and design analysis: how OPC is changing IC design. In Proc. SPIE 5751., pages 1--14, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  20. R. Tian, D. F. Wong, and R. Boone. Model-Based Dummy Feature Placement for Oxide Chemical-Mechanical Polishing Manufacturability. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 20(7): 902--910, Jul 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Y.-R. Wu, M.-C. Tsai, and T.-C. Wang. Maze Routing with OPC Consideration. In Proc. Asia and South Pacific Design Automation Conf., Jan 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. G. Xu, L. Huang, D. Z. Pan, and D. F. Wong. Redundant-Via Enhanced Maze Routing for Yield Improvement. In Proc. Asia and South Pacific Design Automation Conf., Jan 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Xu, S. Sinha, and C. C. Chiang. Accurate Detection for Process-Hotspots with Vias and Incomplete Specification. In Proc. Int. Conf. on Computer Aided Design, Nov 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. H. Yao, S. Sinha, C. Chiang, X. Hong, and Y. Cai. Efficient Process-Hotspot Detection Using Range Pattern Matching. In Proc. Int. Conf. on Computer Aided Design, Nov 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. H. Zhou and D. Wong. Crosstalk-Constrained Maze Routing Based on Lagrangian Relaxation. In Proc. IEEE Int. Conf. on Computer Design, Nov 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '08: Proceedings of the 45th annual Design Automation Conference
      June 2008
      993 pages
      ISBN:9781605581156
      DOI:10.1145/1391469
      • General Chair:
      • Limor Fix

      Copyright © 2008 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 8 June 2008

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader