skip to main content
10.1145/1735023.1735028acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

What makes a design difficult to route

Published:14 March 2010Publication History

ABSTRACT

Traditionally, the goal of physical synthesis has been to produce a physical realization of the input netlist that meets its timing constraints with minimum area. However, design routability has emerged from a secondary objective to perhaps the primary objective, in no small part due to the myriad of rules and constraints that emerge with each successive technology. This work overviews the complexities with modeling congestion during physical synthesis and discusses how optimizations may be able to provide some relief.

References

  1. ISPD 1998 global routing benchmark suite. http://www.ece.ucsb.edu/~kastner/labyrinth.Google ScholarGoogle Scholar
  2. C. J. Alpert, D. P. Mehta, and S. S. Sapatnekar, editors. Handbook of Algorithms for Physical Design Automation, chapter 30. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. U. Brenner and A. Rohe. An effective congestion driven placement framework. IEEE Trans. on CAD, 22(4):387--394, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C. C. N. Chu and M. Pan. IPR: An integrated placement and routing algorithm. In DAC, pages 59--62, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Cong, M. Romesis, and J. Shinnerl. Robust mixed-size placement under tight white--space constraints. In ICCAD, pages 165--172, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Cross, E. Nequist, and L. Scheffer. A dfm aware, space based router. In ISPD, pages 171--172, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C.-H. Hsu, H.-Y. Chen, and Y.-W. Chang. Multi-layer global routing considering via and wire capacities. In ICCAD, pages 350--355, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Z.-W. Jiang, B.-Y. Su, and Y.-W. Chang. Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. In DAC, pages 167--172, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. C. Li, M. Xie, C.-K. Koh, J. Cong, and P. H. Madden. Routability-driven placement and white space allocation. IEEE Trans. on CAD, 26(5):858--871, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Z. Li, C. J. Alpert, S. Hu, T. Muhmud, S. T. Quay, and P. Villarrubia. Fast interconnect synthesis with layer assignment. In ISPD, pages 71--77, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M. D. Moffitt, J. A. Roy, and I. L. Markov. The coming of age of (academic) global routing. In ISPD, pages 148--155, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. G.-J. Nam. ISPD 2006 placement contest: Benchmark suite and results. In ISPD, page 167, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. G.-J. Nam, C. C. N. Sze, and M. C. Yildiz. The ISPD global routing benchmark suite. In ISPD, pages 156--159, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. G.-J. Nam, M. C. Yildiz, D. Z. pan, and P. H. Madden. ISPD placement contest updates and ISPD 2007 global routing contest. In ISPD, page 157, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. A. Roy, N. Viswanathan, G.-J. Nam, C. J. Alpert, and I. L. Markov. Crisp: Congestion reduction by iterated spreading during placement. In ICCAD, pages 357--362, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. Selvakkumaran, P. N. Parakh, and G. Karypis. Perimeter-degree: A priori metric for directly measuring and homogenizing interconnection complexity in multilevel placement. In SLIP, pages 53--59, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. P. Spindler and F. M. Johannes. Fast and accurate routing demand estimation for efficient routability-driven placement. In DATE, pages 1226--1231, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. K. Tsota, C.-K. Koh, and V. Balakrishnan. Guiding global placement with wire density. In ICCAD, pages 212--217, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. H. Xiang, H. Ren, L. Trevillan, L. Reddy, R. Puri, and M. Cho. Logical and physical restructuring of fan-in trees. In ISPD, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. X. Yang, B. K. Choi, and M. Sarrafzadeh. Routability driven white space allocation for fixed-die standard-cell placement. IEEE Trans. on CAD, 22(4):410--419, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. X. Yang, R. Kastner, and M. Sarrafzadeh. Congestion estimation during top-down placement. IEEE Trans. on CAD, 21(1):72--80, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. What makes a design difficult to route

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '10: Proceedings of the 19th international symposium on Physical design
        March 2010
        220 pages
        ISBN:9781605589206
        DOI:10.1145/1735023

        Copyright © 2010 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 14 March 2010

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        ISPD '10 Paper Acceptance Rate22of70submissions,31%Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader