skip to main content
10.1145/2000064.2000115acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Combining memory and a controller with photonics through 3D-stacking to enable scalable and energy-efficient systems

Published:04 June 2011Publication History

ABSTRACT

It is well-known that memory latency, energy, capacity, bandwidth, and scalability will be critical bottlenecks in future large-scale systems. This paper addresses these problems, focusing on the interface between the compute cores and memory, comprising the physical interconnect and the memory access protocol. For the physical interconnect, we study the prudent use of emerging silicon-photonic technology to reduce energy consumption and improve capacity scaling. We conclude that photonics are effective primarily to improve socket-edge bandwidth by breaking the pin barrier, and for use on heavily utilized links. For the access protocol, we propose a novel packet based interface that relinquishes most of the tight control that the memory controller holds in current systems and allows the memory modules to be more autonomous, improving flexibility and interoperability. The key enabler here is the introduction of a 3D-stacked interface die that allows both these optimizations without modifying commodity memory dies. The interface die handles all conversion between optics and electronics, as well as all low-level memory device control functionality. Communication beyond the interface die is fully electrical, with TSVs between dies and low-swing wires on-die. We show that such an approach results in substantially lowered energy consumption, reduced latency, better scalability to large capacities, and better support for heterogeneity and interoperability.

Skip Supplemental Material Section

Supplemental Material

isca_9a_1.mp4

mp4

127.3 MB

References

  1. CACTI: An Integrated Cache and Memory Access Time, Cycle Time, Area, Leakage, and Dynamic Power Model. http://www.hpl.hp.com/research/cacti/.Google ScholarGoogle Scholar
  2. Fully-Buffered DIMM Technology in HP ProLiant Servers - Technology Brief. http://www.hp.com.Google ScholarGoogle Scholar
  3. STREAM - Sustainable Memory Bandwidth in High Performance Computers. http://www.cs.virginia.edu/stream/.Google ScholarGoogle Scholar
  4. Virtutech Simics Full System Simulator. http://www.virtutech.com.Google ScholarGoogle Scholar
  5. N. Aggarwal et al. Power Efficient DRAM Speculation. In Proceedings of HPCA, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  6. D. E. Atkins et al. Report of the NSF Blue-Ribbon Advisory Panel on Cyberinfrastructure. Technical report, National Science Foundation, 2003.Google ScholarGoogle Scholar
  7. M. Awasthi et al. Handling PCM Resistance Drift with Device, Circuit, Architecture, and System Solutions. In Non-Volatile Memories Workshop, 2011.Google ScholarGoogle Scholar
  8. R. Barbieri et al. Design and Construction of the High-Speed Optoelectronic Memory System Demonstrator. Applied Opt., 2008.Google ScholarGoogle ScholarCross RefCross Ref
  9. L. Barroso and U. Holzle. The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines. Morgan & Claypool, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Beamer et al. Re-Architecting DRAM Memory Systems with Monolithically Integrated Silicon Photonics. In Proceedings of ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. R. G. Beausoleil et al. Nanoelectronic and Nanophotonic Interconnect. Proceedings of IEEE, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  12. C. Benia et al. The PARSEC Benchmark Suite: Characterization and Architectural Implications. Technical report, Princeton University, 2008.Google ScholarGoogle Scholar
  13. B. Black et al. Die Stacking (3D) Microarchitecture. In Proceedings of MICRO, December 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi. Phastlane: A Rapid Transit Optical Routing Network. In Proceedings of ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Condit et al. Better I/O Through Byte-Addressable, Persistent Memory. In Proceedings of SOSP, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. E. Cooper-Balis and B. Jacob. Fine-Grained Activation for Power Reduction in DRAM. IEEE Micro, May/June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 1st edition, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Elpida Memory, Inc. News Release: Elpida Completes Development of Cu-TSV (Through Silicon Via) Multi-Layer 8-Gigabit DRAM. http://www.elpida.com/pdfs/pr/2009-08-27e.pdf.Google ScholarGoogle Scholar
  19. K. Fang et al. Mode-locked Silicon Evanescent Lasers. Optics Express, September 2007.Google ScholarGoogle Scholar
  20. A. Hadke et al. OCDIMM: Scaling the DRAM Memory Wall Using WDM based Optical Interconnects. In Proceedings of HOTI, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. R. Ho. On-Chip Wires: Scaling and Efficiency. PhD thesis, Stanford University, August 2003.Google ScholarGoogle Scholar
  22. ITRS. International Technology Roadmap for Semiconductors, 2008 Update.Google ScholarGoogle Scholar
  23. J. Ahn et al. Devices and architectures for photonic chip-scale integration. Applied Physics A: Materials Science and Processing, 95, 2009.Google ScholarGoogle Scholar
  24. B. Jacob, S. W. Ng, and D. T. Wang. Memory Systems - Cache, DRAM, Disk. Elsevier, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. John Carter, IBM Power Aware Systems. Personal Correspondence, 2011.Google ScholarGoogle Scholar
  26. U. Kang et al. 8Gb 3D DDR DRAM Using Through-Silicon-Via Technology. In Proceedings of ISSCC, 2009.Google ScholarGoogle Scholar
  27. N. Kirman et al. Leveraging Optical Technology in Future Bus-Based Chip Multiprocessors. In Proceedings of MICRO, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. N. Kirman and J. F. Martinez. An Efficient All-Optical On-Chip Interconnect Based on Oblivious Routing. In Proceedings of ASPLOS, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. P. Kogge(Editor). ExaScale Computing Study: Technology Challenges in Achieving Exascale Systems. Defense Advanced Research Projects Agency (DARPA), 2008.Google ScholarGoogle Scholar
  30. S. Li et al. McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures. In Proceedings of MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. K. Lim et al. Disaggregated Memory for Expansion and Sharing in Blade Servers. In Proceedings of ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. G. Loh. 3D-Stacked Memory Architectures for Multi-Core Processors. In Proceedings of ISCA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. D. Meisner, B. Gold, and T. Wenisch. PowerNap: Eliminating Server Idle Power. In Proceedings of ASPLOS, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. D. A. B. Miller. Device Requirements for Optical Interconnects to Silicon Chips. Proceedings of IEEE Special Issue on Silicon Photonics, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  35. C. Natarajan, B. Christenson, and F. Briggs. A Study of Performance Impact of Memory Controller Features in Multi-Processor Environment. In Proceedings of WMPI, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. C. Nitta, M. Farrens, and V. Akella. Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks. In Proceedings of HPCA, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. E. Ordentlich et al. Coding for Limiting Current in Memristor Crossbar Memories. In Non-Volatile Memories Workshop, 2011.Google ScholarGoogle Scholar
  38. M. Qureshi, V. Srinivasan, and J. Rivers. Scalable High Performance Main Memory System Using Phase-Change Memory Technology. In Proceedings of ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Raymond G. Beausoleil, HP Labs. Personal Correspondence, 2010.Google ScholarGoogle Scholar
  40. K. Skadron et al. Temperature-Aware Microarchitecture. In Proceedings of ISCA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. N. Streibl et al. Digital Optics. Proceedings of IEEE, 1989.Google ScholarGoogle Scholar
  42. J. Torrellas. Architectures for Extreme-Scale Computing. IEEE Computer, November 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. A. N. Udipi et al. Rethinking DRAM Design and Organization for Energy-Constrained Multi-Cores. In Proceedings of ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. D. Vantrease et al. Corona: System Implications of Emerging Nanophotonic Technology. In Proceedings of ISCA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. D. H. Woo et al. An Optimized 3D-Stacked Memory Architecture by Exploiting Excessive, High-Density TSV Bandwidth. In Proceedings of HPCA, 2010.Google ScholarGoogle Scholar
  46. Q. Xu et al. Micrometre-Scale Silicon Electro-Optic Modulator. Nature, 435:325--327, May 2005.Google ScholarGoogle Scholar
  47. J. Xue et al. An Intra-Chip Free-Space Optical Interconnect. In Proceedings of ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Combining memory and a controller with photonics through 3D-stacking to enable scalable and energy-efficient systems

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISCA '11: Proceedings of the 38th annual international symposium on Computer architecture
        June 2011
        488 pages
        ISBN:9781450304726
        DOI:10.1145/2000064
        • cover image ACM SIGARCH Computer Architecture News
          ACM SIGARCH Computer Architecture News  Volume 39, Issue 3
          ISCA '11
          June 2011
          462 pages
          ISSN:0163-5964
          DOI:10.1145/2024723
          Issue’s Table of Contents

        Copyright © 2011 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 4 June 2011

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate543of3,203submissions,17%

        Upcoming Conference

        ISCA '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader