ABSTRACT
By allowing the occurrence of infrequent timing errors and correcting them with rollback mechanisms, the so-called timing speculation (TS) technique can significantly improve circuit energy-efficiency and hence has become one of the most promising solutions to mitigate the ever-increasing variation effects in nanometer technologies. As timing error recovery incurs non-trivial performance/energy overhead, it is important to reshape the delay distribution of critical paths in timing-speculated circuits to minimize their timing error rates. Most existing TS optimization techniques achieve this objective with post-synthesis techniques such as gate sizing or body biasing. In this work, we propose to conduct logic synthesis for timing-speculated circuits from the ground up. Being able to manipulate circuit structures during logic optimization, the proposed solution is able to dramatically reduce circuit timing error rates and hence improve its throughput, as demonstrated with experimental results on various benchmark circuits.
- S. Borkar, et al., "Parameter variations and impact on circuits and microarchitecture," in Proc. ACM/IEEE Design Automation Conference (DAC), 2003, pp. 338--342. Google ScholarDigital Library
- K. Bowman, et al., "Circuit techniques for dynamic variation tolerance," in Proc. ACM/IEEE Design Automation Conference (DAC), 2009, pp. 4--7. Google ScholarDigital Library
- D. Ernst, et al., "Razor: a low-power pipeline based on circuit-level timing speculation," in Proc. IEEE/ACM International Symposium on Microarchitecture, 2003, pp. 7--18. Google ScholarDigital Library
- B. Greskamp and J. Torrellas, "Paceline: Improving single-thread performance in nanoscale cmps through core overclocking," in Proc. International Conference on Parallel Architecture and Compilation Techniques, 2007, pp. 213--224. Google ScholarDigital Library
- L. Benini, E. Macii, M. Poncino, and G. D. Micheli, "Telescopic units: A new paradigm for performance optimization of vlsi designs," IEEE Trans. Computer-Aided Design, vol. 17, pp. 220--232, 1998. Google ScholarDigital Library
- D. Frank, R. Puri, and D. Toma, "Design and CAD Challenges in 45nm CMOS and beyond," in Proc. International Conference on Computer-Aided Design (ICCAD), 2006, pp. 329--333. Google ScholarDigital Library
- S. Borkar, "Designing reliable systems from unreliable components: the challenges of transistor variability and degradation," IEEE Micro, vol. 25, no. 6, pp. 10--16, 2005. Google ScholarDigital Library
- C. Metra, M. Favalli, and B. Ricco, "On-line detection of logic errors due to crosstalk, delay, and transient faults," in Proc. IEEE International Test Conference (ITC), 1998, pp. 524--533. Google ScholarDigital Library
- M. R. Choudhury and K. Mohanram, "TIMBER: Time borrowing and error relaying for online timing error resilience," in Proc. Design, Automation, and Test in Europe (DATE), 2010, pp. 1554--1559. Google ScholarDigital Library
- R. Sproull, I. Sutherland, and C. Molnar, "The counterflow pipeline processor architecture," IEEE Design & Test of Computers, vol. 11, no. 3, p. 48, 1994. Google ScholarDigital Library
- L. Wan and D. Chen, "Dynatune: circuit-level optimization for timing speculation considering dynamic path behavior," in Proc. International Conference on Computer-Aided Design (ICCAD), 2009, pp. 172--179. Google ScholarDigital Library
- B. Greskamp, et al., "Blueshift: Designing processors for timing speculation from the ground up," in IEEE International Symposium on High Performance Computer Architecture, 2009, pp. 213--224.Google Scholar
- A. B. Kahng, S. Kang, R. Kumar, and J. Sartori, "Slack redistribution for graceful degradation under voltage overscaling," in Proc. Asia and South Pacific Design Automation Conference, 2010, pp. 825--831. Google ScholarDigital Library
- Y. Liu, F. Yuan and Q. Xu, "Re-synthesis for cost-efficient circuit-level timing speculation," in Proc. ACM/IEEE Design Automation Conference (DAC), 2011, pp. 158--163. Google ScholarDigital Library
- J. Cong and K. Minkovich, "Logic synthesis for better than worst-case designs," in Proc. International Symposium on VLSI Design, Automation and Test, 2009, pp. 166--169.Google ScholarCross Ref
- A. Mishchenko, S. Chatterjee, and R. Brayton, "DAG-aware AIG rewriting a fresh look at combinational logic synthesis," in Proc. Design Automation Conference, 2006, pp. 532--535. Google ScholarDigital Library
- ABC: http://www.eecs.berkeley.edu/alanmi/abc/.Google Scholar
- J. Cortadella, "Timing-driven logic bi-decomposition," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, no. 6, pp. 675--685, June 2003. Google ScholarDigital Library
- A. C. Ling, J. Zhu, and S. D. Brown, "Delay driven AIG restructuring using slack budget management," in Proc. ACM Great Lakes symposium on VLSI, 2008, pp. 163--166. Google ScholarDigital Library
- K. C. Chen, et al., "DAG-map: graph-based FPGA technology mapping for delay optimization," IEEE Design & Test of Computers, vol. 9, no. 3, pp. 7--20, Sep. 1992. Google ScholarDigital Library
- K. J. Singh, "Timing optimization of combinational logic," in Proc. International Conference on Computer-Aided Design (ICCAD), 1988, pp. 282--285.Google Scholar
- S. Chatterjee, et al., "Reducing structural bias in technology mapping," in Proc. International Conference on Computer-Aided Design (ICCAD), 2005, pp. 519--526. Google ScholarDigital Library
- M. Kruijf, S. Nomura, K. Sankaralingam, "A unified model for timing speculation: Evaluating the impact of technology scaling, CMOS design style, and fault recovery mechanism," in Proc. International Conference on Dependable Systems and Networks, 2010, pp. 487--496.Google ScholarCross Ref
- R. Ye, F. Yuan and Q. Xu, "Online clock skew tuning for timing speculation," in Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011, pp. 442--447. Google ScholarDigital Library
- R. Ye, F. Yuan, H. Zhou and Q. Xu, "Clock skew scheduling for timing speculation," in Proc. IEEE/ACM Design, Automation, and Test in Europe (DATE), 2012, pp. 929--934.Google Scholar
Index Terms
- On logic synthesis for timing speculation
Recommendations
Synthesis and Optimization of Multilevel Logic under Timing Constraints
The automation of the synthesis and optimization of combinational logic can result in savings in design time, significant improvements of the circuitry, and guarantee functional correctness. Synthesis quality is often measured in terms of the area of ...
Synthesis of skewed logic circuits
Skewed logic circuits belong to a noise-tolerant high-performance static circuit family. Skewed logic circuits can achieve performance comparable to that of Domino logic circuits but with much lower power consumption. Two factors contribute to the ...
Timing-driven optimization using lookahead logic circuits
DAC '09: Proceedings of the 46th Annual Design Automation ConferenceThis paper describes a timing-driven optimization technique for the synthesis of multi-level logic circuits. Motivated by the parallel prefix problem, the proposed timing-driven optimization produces logic circuits with "lookahead" properties due to the ...
Comments