skip to main content
10.1145/2429384.2429512acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

On logic synthesis for timing speculation

Authors Info & Claims
Published:05 November 2012Publication History

ABSTRACT

By allowing the occurrence of infrequent timing errors and correcting them with rollback mechanisms, the so-called timing speculation (TS) technique can significantly improve circuit energy-efficiency and hence has become one of the most promising solutions to mitigate the ever-increasing variation effects in nanometer technologies. As timing error recovery incurs non-trivial performance/energy overhead, it is important to reshape the delay distribution of critical paths in timing-speculated circuits to minimize their timing error rates. Most existing TS optimization techniques achieve this objective with post-synthesis techniques such as gate sizing or body biasing. In this work, we propose to conduct logic synthesis for timing-speculated circuits from the ground up. Being able to manipulate circuit structures during logic optimization, the proposed solution is able to dramatically reduce circuit timing error rates and hence improve its throughput, as demonstrated with experimental results on various benchmark circuits.

References

  1. S. Borkar, et al., "Parameter variations and impact on circuits and microarchitecture," in Proc. ACM/IEEE Design Automation Conference (DAC), 2003, pp. 338--342. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. K. Bowman, et al., "Circuit techniques for dynamic variation tolerance," in Proc. ACM/IEEE Design Automation Conference (DAC), 2009, pp. 4--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Ernst, et al., "Razor: a low-power pipeline based on circuit-level timing speculation," in Proc. IEEE/ACM International Symposium on Microarchitecture, 2003, pp. 7--18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. B. Greskamp and J. Torrellas, "Paceline: Improving single-thread performance in nanoscale cmps through core overclocking," in Proc. International Conference on Parallel Architecture and Compilation Techniques, 2007, pp. 213--224. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. L. Benini, E. Macii, M. Poncino, and G. D. Micheli, "Telescopic units: A new paradigm for performance optimization of vlsi designs," IEEE Trans. Computer-Aided Design, vol. 17, pp. 220--232, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Frank, R. Puri, and D. Toma, "Design and CAD Challenges in 45nm CMOS and beyond," in Proc. International Conference on Computer-Aided Design (ICCAD), 2006, pp. 329--333. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. S. Borkar, "Designing reliable systems from unreliable components: the challenges of transistor variability and degradation," IEEE Micro, vol. 25, no. 6, pp. 10--16, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Metra, M. Favalli, and B. Ricco, "On-line detection of logic errors due to crosstalk, delay, and transient faults," in Proc. IEEE International Test Conference (ITC), 1998, pp. 524--533. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. R. Choudhury and K. Mohanram, "TIMBER: Time borrowing and error relaying for online timing error resilience," in Proc. Design, Automation, and Test in Europe (DATE), 2010, pp. 1554--1559. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Sproull, I. Sutherland, and C. Molnar, "The counterflow pipeline processor architecture," IEEE Design & Test of Computers, vol. 11, no. 3, p. 48, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. L. Wan and D. Chen, "Dynatune: circuit-level optimization for timing speculation considering dynamic path behavior," in Proc. International Conference on Computer-Aided Design (ICCAD), 2009, pp. 172--179. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. B. Greskamp, et al., "Blueshift: Designing processors for timing speculation from the ground up," in IEEE International Symposium on High Performance Computer Architecture, 2009, pp. 213--224.Google ScholarGoogle Scholar
  13. A. B. Kahng, S. Kang, R. Kumar, and J. Sartori, "Slack redistribution for graceful degradation under voltage overscaling," in Proc. Asia and South Pacific Design Automation Conference, 2010, pp. 825--831. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Y. Liu, F. Yuan and Q. Xu, "Re-synthesis for cost-efficient circuit-level timing speculation," in Proc. ACM/IEEE Design Automation Conference (DAC), 2011, pp. 158--163. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Cong and K. Minkovich, "Logic synthesis for better than worst-case designs," in Proc. International Symposium on VLSI Design, Automation and Test, 2009, pp. 166--169.Google ScholarGoogle ScholarCross RefCross Ref
  16. A. Mishchenko, S. Chatterjee, and R. Brayton, "DAG-aware AIG rewriting a fresh look at combinational logic synthesis," in Proc. Design Automation Conference, 2006, pp. 532--535. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. ABC: http://www.eecs.berkeley.edu/alanmi/abc/.Google ScholarGoogle Scholar
  18. J. Cortadella, "Timing-driven logic bi-decomposition," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, no. 6, pp. 675--685, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. C. Ling, J. Zhu, and S. D. Brown, "Delay driven AIG restructuring using slack budget management," in Proc. ACM Great Lakes symposium on VLSI, 2008, pp. 163--166. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. K. C. Chen, et al., "DAG-map: graph-based FPGA technology mapping for delay optimization," IEEE Design & Test of Computers, vol. 9, no. 3, pp. 7--20, Sep. 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K. J. Singh, "Timing optimization of combinational logic," in Proc. International Conference on Computer-Aided Design (ICCAD), 1988, pp. 282--285.Google ScholarGoogle Scholar
  22. S. Chatterjee, et al., "Reducing structural bias in technology mapping," in Proc. International Conference on Computer-Aided Design (ICCAD), 2005, pp. 519--526. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. M. Kruijf, S. Nomura, K. Sankaralingam, "A unified model for timing speculation: Evaluating the impact of technology scaling, CMOS design style, and fault recovery mechanism," in Proc. International Conference on Dependable Systems and Networks, 2010, pp. 487--496.Google ScholarGoogle ScholarCross RefCross Ref
  24. R. Ye, F. Yuan and Q. Xu, "Online clock skew tuning for timing speculation," in Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011, pp. 442--447. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. R. Ye, F. Yuan, H. Zhou and Q. Xu, "Clock skew scheduling for timing speculation," in Proc. IEEE/ACM Design, Automation, and Test in Europe (DATE), 2012, pp. 929--934.Google ScholarGoogle Scholar

Index Terms

  1. On logic synthesis for timing speculation

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ICCAD '12: Proceedings of the International Conference on Computer-Aided Design
            November 2012
            781 pages
            ISBN:9781450315739
            DOI:10.1145/2429384
            • General Chair:
            • Alan J. Hu

            Copyright © 2012 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 5 November 2012

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate457of1,762submissions,26%

            Upcoming Conference

            ICCAD '24
            IEEE/ACM International Conference on Computer-Aided Design
            October 27 - 31, 2024
            New York , NY , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader