skip to main content
10.1145/2429384.2429513acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Lazy man's logic synthesis

Published:05 November 2012Publication History

ABSTRACT

Deriving a circuit for a Boolean function or improving an available circuit are typical tasks solved by logic synthesis. Numerous algorithms in this area have been proposed and implemented over the last 50 years. This paper presents a "lazy" approach to logic synthesis based on the following observations: (a) optimal or near-optimal circuits for many practical functions are already derived by the tools, making it unnecessary to implement new algorithms or even run the old ones repeatedly; (b) larger circuits are composed of smaller ones, which are often isomorphic up to a permutation/negation of inputs/outputs. Experiments confirm these observations. Moreover, a case-study shows that logic level minimization using lazy man's synthesis improves delay after LUT mapping into 4- and 6-input LUTs, compared to earlier work on high-effort delay optimization.

References

  1. A. Abdollahi and M. Pedram, "A new canonical form for fast boolean matching in logic synthesis and verification". Proc. DAC'05, 379--384. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Berkeley Logic Synthesis and Verification Group. ABC: A System for Sequential Synthesis and Verification. http://www-cad.eecs.berkeley.edu/~alanmi/abcGoogle ScholarGoogle Scholar
  3. V. Bertacco and M. Damiani. "The disjunctive decomposition of logic functions". Proc. ICCAD '97, pp. 78--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. P. Bjesse and A. Boralv, "DAG-aware circuit compression for formal verification", Proc. ICCAD '04, pp. 42--49. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Brayton and C. McMullen, "The decomposition and factorization of Boolean expressions," Proc. ISCAS '82, pp. 29--54.Google ScholarGoogle Scholar
  6. R. Brayton, G. Hachtel, A. Sangiovanni-Vincentelli, "Multilevel logic synthesis", Proc. IEEE, Vol. 78, Feb. 1990.Google ScholarGoogle Scholar
  7. R. Brayton and A. Mishchenko, "ABC: An academic industrial-strength verification tool", Proc. CAV'10, LNCS 6174, pp. 24--40. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. F. Brglez, D. Bryan, and K. Kozminski, "Combinational profiles of sequential benchmark circuits," Proc. ISCAS '89, pp. 1929--1934.Google ScholarGoogle Scholar
  9. D. Chai and A. Kuehlmann, "Building a better Boolean matcher and symmetry detector". Proc. DATE 2006, pp. 1079--1084. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Chatterjee, A. Mishchenko, R. Brayton, X. Wang, and T. Kam, "Reducing structural bias in technology mapping", IEEE TCAD'06, Vol. 25(12), pp. 2894--2903. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. ITC '99 Benchmarks. http://www.cad.polito.it/tools/itc99.htmlGoogle ScholarGoogle Scholar
  12. A. Kennings, A. Mishchenko, K. Vorwerk, V. Pevzner, and A. Kundu, "Generating efficient libraries for use in FPGA resynthesis algorithms". Proc. IWLS'10, pp. 147--154.Google ScholarGoogle Scholar
  13. E. Lehman, Y. Watanabe, J. Grodstein, and H. Harkness, "Logic decomposition during technology mapping," IEEE Trans. CAD, Vol. 16(8), Aug. 1997, pp. 813--833. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. N. Li and E. Dubrova, "AIG rewriting using 5-input cuts", Proc. IWLS'11.Google ScholarGoogle Scholar
  15. S. Minato: "Fast generation of prime-irredundant covers from binary decision diagrams," IEICE Trans. Fundamentals, Vol. E76-A, No. 6, pp. 967--973, June 1993.Google ScholarGoogle Scholar
  16. A. Mishchenko, B. Steinbach, and M. A. Perkowski, "An algorithm for bi-decomposition of logic functions", Proc. DAC '01, 103--108. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. A. Mishchenko, S. Chatterjee, and R. Brayton, "DAG-aware AIG rewriting: A fresh look at combinational logic synthesis", Proc. DAC '06, pp. 532--536. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Mishchenko, S. Chatterjee, R. Brayton, and N. Een, "Improvements to combinational equivalence checking", Proc. ICCAD '06, pp. 836--843. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. Mishchenko and R. K. Brayton, "Scalable logic synthesis using a simple circuit structure", Proc. IWLS '06, pp. 15--22.Google ScholarGoogle Scholar
  20. A. Mishchenko, S. Cho, S. Chatterjee, R. Brayton, "Combinational and sequential mapping with priority cuts", Proc. ICCAD '07, pp. 354--361. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. A. Mishchenko, R. Brayton, S. Jang, and V. Kravets, "Delay optimization using SOP balancing", Proc. ICCAD'11, pp. 375--382. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. P. Pan and C.-C. Lin, "A new retiming-based technology mapping algorithm for LUT-based FPGAs," Proc. FPGA'98, pp. 35--42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Pistorius, M. Hutton, A. Mishchenko, and R. Brayton. "Benchmarking method and designs targeting logic synthesis for FPGAs", Proc. IWLS '07, pp. 230--237.Google ScholarGoogle Scholar
  24. J. Rajski and J. Vasudevamurthy, "The testability-preserving concurrent decomposition and factorization of Boolean expressions". IEEE TCAD'92, vol. 11(6), pp. 778--793. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. S. Ray, A. Mishchenko, N. Een, R. Brayton, S. Jang, and C. Chen, "Mapping into LUT structures", Proc. DATE'12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. E. Sentovich et al, "SIS: A system for sequential circuit synthesis", Tech. Rep. UCB/ERI, M92/41, ERL, Dept. of EECS, Univ. of California, Berkeley, 1992.Google ScholarGoogle Scholar
  27. C. Yang and M. Ciesielski. "BDS: a BDD-based logic optimization system", IEEE TCAD'02, vol. 21(7), pp. 866--876. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. S. Yang. "Logic synthesis and optimization benchmarks". Version 3.0. Tech. Report. Microelectronics Center of North Carolina, 1991.Google ScholarGoogle Scholar
  29. L. Wang, and A. E. A. Almaini, "Multilevel logic simplification based on containment recursive paradigm", IEE Proceedings Computers and Digital Techniques, 2003, Vol. 150, No. 4, pp, 218--226.Google ScholarGoogle ScholarCross RefCross Ref
  30. D. Wu and J. Zhu, "FBDD: a folded logic synthesis system", Proc. DAC'05, pp. 746--751.Google ScholarGoogle Scholar
  31. https://skydrive.live.com/redir.aspx?cid=76d4b8991df82cf3&resid=76D4B8991DF82CF3!152&parid=rooGoogle ScholarGoogle Scholar

Index Terms

  1. Lazy man's logic synthesis

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ICCAD '12: Proceedings of the International Conference on Computer-Aided Design
            November 2012
            781 pages
            ISBN:9781450315739
            DOI:10.1145/2429384
            • General Chair:
            • Alan J. Hu

            Copyright © 2012 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 5 November 2012

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate457of1,762submissions,26%

            Upcoming Conference

            ICCAD '24
            IEEE/ACM International Conference on Computer-Aided Design
            October 27 - 31, 2024
            New York , NY , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader