skip to main content
10.1145/2429384.2429514acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Minimizing area and power of sequential CMOS circuits using threshold decomposition

Published:05 November 2012Publication History

ABSTRACT

This paper describes the design of a standard cell library of differential mode threshold gates, referred to as a Threshold Logic Latch or TLL, and new threshold function identification and decomposition methods to map a conventional logic network consisting of logic gates and flipflops, into a hybrid network that consists of both TLLs and conventional logic gates. After logic synthesis and physical design (placement and routing) using a commercial 65nm LP (low power) library, and commercial design tools, the hybrid circuits are shown to have up to 35% less dynamic power, about 50% less leakage power and around 37% less area when compared to the corresponding conventional design operated at the same (peak) frequency.

References

  1. V. Beiu, J. M. Quintana, and M. J. Avedillo. VLSI Implementations of Threshold Logic - A Comprehensive Survey. IEEE Trans. Neural Networks, 14: 1217--1243, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. E. Blair and C. Lent. Quantum-Dot Cellular Automata: an Architecture for Molecular Computing. In Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003. International Conference on, pages 14--18, Sep 2003.Google ScholarGoogle ScholarCross RefCross Ref
  3. D. Eppstein. Small Maximal Independent Sets and Faster Exact Graph Coloring. In Proceedings of the 7th International Workshop on Algorithms and Data Structures, WADS '01, pages 462--470, London, UK, 2001. Springer-Verlag. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Gowda, S. Vrudhula, and N. Kulkarni. Identification of threshold functions and synthesis of threshold networks. IEEE Transactions on Computer-Aided Design (TCAD), 30(5): 665--677, May 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. P. S. Jian, G. Haddad, P. Mazumder, and J. Schulman. Resonant Tunneling Diodes: Models and Properties. Proceedings of the IEEE, 86(4): 641--660, Apr. 1998.Google ScholarGoogle Scholar
  6. D. Kagaris and S. Tragoudas. Maximum Weighted Independent Sets on Transitive Graphs and Applications. Integr. VLSI J., 27: 77--86, January 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Y.-T. Lai, M. Pedram, and S. B. K. Vrudhula. Bdd based decomposition of logic functions with application to fpga synthesis. In Proceedings of the 30th international Design Automation Conference, DAC '93, pages 642--647, New York, NY, USA, 1993. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Muroga. Threshold Logic and its Applications. Wiley-Interscience New York, 1971.Google ScholarGoogle Scholar
  9. M. Padure, S. Cotofana, C. Dan, M. Bodea, and S. Vassiliadis. A new Latch-based Threshold Logic Family. In CAS 2001 Proceedings. International Semiconductor Conference, 2001., volume 2, pages 531--534 vol. 2, Oct. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  10. L. Samuel, B. Krzysztof, and S. Vrudhula. Design of a robust, high performance standard cell threshold logic family for deep sub-micron technology. In Proceedings of the IEEE International Conference on Microelectronics, Cairo, Egypt, Dec. 19--22 2010.Google ScholarGoogle Scholar
  11. R. Strandberg and J. Yuan. Single Input Current-Sensing Differential Logic (SCSDL). In Proceedings. ISCAS 2000 Geneva. The IEEE International Symposium on Circuits and Systems, 2000., volume 1, pages 764--767 vol. 1, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  12. R. Zhang, P. Gupta, L. Zhong, and N. K. Jha. Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies. In Proceedings of the Conference on Design, Automation and Test in Europe - Volume 2, DATE '04, pages 20904--, Washington, DC, USA, 2004. IEEE Computer Society. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Minimizing area and power of sequential CMOS circuits using threshold decomposition

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ICCAD '12: Proceedings of the International Conference on Computer-Aided Design
      November 2012
      781 pages
      ISBN:9781450315739
      DOI:10.1145/2429384
      • General Chair:
      • Alan J. Hu

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 November 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate457of1,762submissions,26%

      Upcoming Conference

      ICCAD '24
      IEEE/ACM International Conference on Computer-Aided Design
      October 27 - 31, 2024
      New York , NY , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader