ABSTRACT
Deep-submicron CMOS designs have resulted in large leakage energy dissipation in microprocessors. While SRAM cells in on-chip cache memories always contribute to this leakage, there is a large variability in active cell usage both within and across appli?cations. This paper explores an integrated architectural and circuit-level approach to reducing leakage energy dissipation in instruc?tion caches. We propose, gated-Vdd, a circuit-level technique to gate the supply voltage and reduce leakage in unused SRAM cells. Our results indicate that gated-Vdd together with a novel resizable cache architecture reduces energy-delay by 62% with minimal impact on performance.
- 1.D.H. Alboensi. Selective cache ways: On-demand cache resource allocation. In Proceedings of the 32nd Annual IEEE/A CM International Symposium on Microarchitecture (MICRO 32), Nov. 1999. Google ScholarDigital Library
- 2.N. Bellas, I. Hajj, and C. Polychronopoulos. Using dynamic management techniques to reduce energy in high-performance processors. In Proceedings of the International Symposium on Low Power Electronics and Design (IS- LPED), Aug. 1999. Google ScholarDigital Library
- 3.S. Borkar. Design challenges of technology scaling. IEEE Micro, 19(4):23--g9, July 1999. Google ScholarDigital Library
- 4.D. Burger and T. M. Austin. The SimpleScalar tool set, version 2.0. Technical Report 1342, Computer Sciences Department, University of Wisconsin--Madison, June 1997.Google ScholarDigital Library
- 5.K. Inoue, T. Ishihara, and K. Murakami. Way-predicting set-associative cache for high performance and low energy consumption. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), pages 273--g75, Aug. 1999. Google ScholarDigital Library
- 6.M.B. Kamble and K. Ghose. Analytical energy dissipation models for low power caches. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), Aug. 1997. Google ScholarDigital Library
- 7.J. Kin, M. Gupta, and W. H. Mangione-Smith. The filter cache: An energy efficient memory structure. In Proceedings of the 30th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 30), pages 184--1-93, Dec. 1997. Google ScholarDigital Library
- 8.S. Manne, A. Klauser, and D. Grunwald. Pipline gating: Speculation control for energy reduction. In Proceedings of the 25th Annual International Symposium on Computer Architecture, pages 132 ! 41, June 1998. Google ScholarDigital Library
- 9.L. Wei, Z. Chen, M. C. Johnson, K. Roy, and V. De. Design and optimization of low voltage high performance dual threshold CMOS circuits. In Proceedings of the 35th Design Automation Conference, pages 489-494, 1998. Google ScholarDigital Library
- 10.S.J.E. Wilson and N. P. Jouppi. An enhanced access and cycle time model for on-chip caches. Technical Report 93/ 5, Digital Equipment Corporation, Western Research Laboratory, July 1994.Google Scholar
- 11.S.-H. Yang, M. D. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar. Dynamically resizable instruction cache: An energy-efficient and high-performance deep-submicron instruction cache. Technical Report ECE-007, School of Electrical and Computer Engineering, Purdue University, 2000.Google Scholar
- 12.Y. Ye, S. Borkar, and V. De. A new technique for standby leakage reduction in high performance circuits. In IEEE Symposium on VLSI Circuits, pages 40-41, 1998.Google ScholarCross Ref
Index Terms
- Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories
Recommendations
Gated-diode FinFET DRAMs: Device and circuit design-considerations
Scaling bulk CMOS SRAM technology for on-chip caches beyond the 22nm node is questionable, on account of high leakage power consumption, performance degradation, and instability due to process variations. Recently, two-three transistor one gated-diode (...
Full-VDD and near-threshold performance of 8T FinFET SRAM cells
We evaluate full-VDD and near-threshold operation of nine novel eight-transistor (8T) FinFET SRAM cell schemes using shorted gate (SG) and low power FinFET configurations for 32-bit by 1024-word SRAMs. 8T SRAM schemes outperform six-transistor schemes ...
Pragmatic design of gated-diode FinFET DRAMs
ICCD'09: Proceedings of the 2009 IEEE international conference on Computer designScaling bulk CMOS SRAM technology for on-chip caches beyond the 22nm node is questionable, on account of high leakage power consumption, performance degradation, and instability due to process variations. Recently, two/three transistor one gated-diode (...
Comments