skip to main content
10.1145/344166.344526acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article
Free Access

Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories

Authors Info & Claims
Published:01 August 2000Publication History

ABSTRACT

Deep-submicron CMOS designs have resulted in large leakage energy dissipation in microprocessors. While SRAM cells in on-chip cache memories always contribute to this leakage, there is a large variability in active cell usage both within and across appli?cations. This paper explores an integrated architectural and circuit-level approach to reducing leakage energy dissipation in instruc?tion caches. We propose, gated-Vdd, a circuit-level technique to gate the supply voltage and reduce leakage in unused SRAM cells. Our results indicate that gated-Vdd together with a novel resizable cache architecture reduces energy-delay by 62% with minimal impact on performance.

References

  1. 1.D.H. Alboensi. Selective cache ways: On-demand cache resource allocation. In Proceedings of the 32nd Annual IEEE/A CM International Symposium on Microarchitecture (MICRO 32), Nov. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.N. Bellas, I. Hajj, and C. Polychronopoulos. Using dynamic management techniques to reduce energy in high-performance processors. In Proceedings of the International Symposium on Low Power Electronics and Design (IS- LPED), Aug. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.S. Borkar. Design challenges of technology scaling. IEEE Micro, 19(4):23--g9, July 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.D. Burger and T. M. Austin. The SimpleScalar tool set, version 2.0. Technical Report 1342, Computer Sciences Department, University of Wisconsin--Madison, June 1997.Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.K. Inoue, T. Ishihara, and K. Murakami. Way-predicting set-associative cache for high performance and low energy consumption. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), pages 273--g75, Aug. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.M.B. Kamble and K. Ghose. Analytical energy dissipation models for low power caches. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), Aug. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.J. Kin, M. Gupta, and W. H. Mangione-Smith. The filter cache: An energy efficient memory structure. In Proceedings of the 30th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 30), pages 184--1-93, Dec. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.S. Manne, A. Klauser, and D. Grunwald. Pipline gating: Speculation control for energy reduction. In Proceedings of the 25th Annual International Symposium on Computer Architecture, pages 132 ! 41, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.L. Wei, Z. Chen, M. C. Johnson, K. Roy, and V. De. Design and optimization of low voltage high performance dual threshold CMOS circuits. In Proceedings of the 35th Design Automation Conference, pages 489-494, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.S.J.E. Wilson and N. P. Jouppi. An enhanced access and cycle time model for on-chip caches. Technical Report 93/ 5, Digital Equipment Corporation, Western Research Laboratory, July 1994.Google ScholarGoogle Scholar
  11. 11.S.-H. Yang, M. D. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar. Dynamically resizable instruction cache: An energy-efficient and high-performance deep-submicron instruction cache. Technical Report ECE-007, School of Electrical and Computer Engineering, Purdue University, 2000.Google ScholarGoogle Scholar
  12. 12.Y. Ye, S. Borkar, and V. De. A new technique for standby leakage reduction in high performance circuits. In IEEE Symposium on VLSI Circuits, pages 40-41, 1998.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISLPED '00: Proceedings of the 2000 international symposium on Low power electronics and design
        August 2000
        313 pages
        ISBN:1581131909
        DOI:10.1145/344166

        Copyright © 2000 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 August 2000

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate398of1,159submissions,34%

        Upcoming Conference

        ISLPED '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader