skip to main content
10.1145/2024724.2024954acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

MARSS: a full system simulator for multicore x86 CPUs

Published:05 June 2011Publication History

ABSTRACT

We present MARSS, an open source, fast, full system simulation tool built on QEMU to support cycle-accurate simulation of superscalar homogeneous and heterogeneous multicore x86 processors. MARSS includes detailed models of coherent caches, interconnections, chipsets, memory and IO devices. MARSS simulates the execution of all software components in the system, including unmodified binaries of applications, OS and libraries.

References

  1. Matt Yourst, "PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator", Proc. ISPASS 2007.Google ScholarGoogle ScholarCross RefCross Ref
  2. Fabrice Bellard, "QEMU, a fast and portable dynamic translator", Proc. ATEC 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Hui Zeng, et. al., "MPTLsim: a simulator for X86 multicore processors", Proc. DAC 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Standard Performance Evaluation Corporation CPU 2006 Benchmarks, http://www.spec.org/.Google ScholarGoogle Scholar
  5. Christian Bienia, et. al., "The PARSEC benchmark suite: characterization and architectural implications", PACT 2008 Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Jaswinder Pal Singh, et. al., "SPLASH: Stanford parallel applications for shared-memory", Stanford Univ. Technical report No. CSL-TR-92-526, June 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Paul Barham, et. al., "Xen and the art of virtualization", Proc. SOSP 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Naveen Neelkantam, et. al., "FeS2: Full-System Execution-driven Simulator for x86", web pages at: http://fes2.cs.uiuc.eduGoogle ScholarGoogle Scholar
  9. "Zesto: X86 Simulator", web pages at: http://zesto.cc.gatech.eduGoogle ScholarGoogle Scholar
  10. "Bochs: IA-32 Emulator", web pages at: http://bochs.sourceforge.net/Google ScholarGoogle Scholar
  11. Milo M. K. Martin, et. al., "Multifacet's General Execution-driven Multiprocessor Simulator (GEMS) Toolset", CAN 2005, web pages at: http://www.cs.wisc.edu/gems/Google ScholarGoogle Scholar
  12. Netpipe benchmark download page at: http://www.scl.ameslab.gov/netpipe/Google ScholarGoogle Scholar
  13. David Wang, et. al., "DRAMsim: a memory system simulator", SIGARCH, Vil. 33, No. 4, Nov. 2005 and web pages for DRAMsim2 at: http://www.ece.umd.edu/dramsim/ Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. MARSS: a full system simulator for multicore x86 CPUs

                Recommendations

                Comments

                Login options

                Check if you have access through your login credentials or your institution to get full access on this article.

                Sign in
                • Published in

                  cover image ACM Conferences
                  DAC '11: Proceedings of the 48th Design Automation Conference
                  June 2011
                  1055 pages
                  ISBN:9781450306362
                  DOI:10.1145/2024724

                  Copyright © 2011 ACM

                  Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  • Published: 5 June 2011

                  Permissions

                  Request permissions about this article.

                  Request Permissions

                  Check for updates

                  Qualifiers

                  • research-article

                  Acceptance Rates

                  Overall Acceptance Rate1,770of5,499submissions,32%

                  Upcoming Conference

                  DAC '24
                  61st ACM/IEEE Design Automation Conference
                  June 23 - 27, 2024
                  San Francisco , CA , USA

                PDF Format

                View or Download as a PDF file.

                PDF

                eReader

                View online with eReader.

                eReader