skip to main content
10.1145/2228360.2228504acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

SALSA: systematic logic synthesis of approximate circuits

Published:03 June 2012Publication History

ABSTRACT

Approximate computing has emerged as a new design paradigm that exploits the inherent error resilience of a wide range of application domains by allowing hardware implementations to forsake exact Boolean equivalence with algorithmic specifications. A slew of manual design techniques for approximate computing have been proposed in recent years, but very little effort has been devoted to design automation.

We propose SALSA, a Systematic methodology for Automatic Logic Synthesis of Approximate circuits. Given a golden RTL specification of a circuit and a quality constraint that defines the amount of error that may be introduced in the implementation, SALSA synthesizes an approximate version of the circuit that adheres to the pre-specified quality bounds. We make two key contributions: (i) the rigorous formulation of the problem of approximate logic synthesis, enabling the generation of circuits that are correct by construction, and (ii) mapping the problem of approximate synthesis into an equivalent traditional logic synthesis problem, thereby allowing the capabilities of existing synthesis tools to be fully utilized for approximate logic synthesis. In order to achieve these benefits, SALSA encodes the quality constraints using logic functions called Q-functions, and captures the flexibility that they engender as Approximation Don't Cares (ADCs), which are used for circuit simplification using traditional don't care based optimization techniques. We have implemented SALSA using two off-the-shelf logic synthesis tools - SIS and Synopsys Design Compiler. We automatically synthesize approximate circuits ranging from arithmetic building blocks (adders, multipliers, MAC) to entire datapaths (DCT, FIR, IIR, SAD, FFT Butterfly, Euclidean distance), demonstrating scalability and significant improvements in area (1.1X to 1.85X for tight error constraints, and 1.2X to 4.75X for relaxed error constraints) and power (1.15X to 1.75X for tight error constraints, and 1.3X to 5.25X for relaxed error constraints).

References

  1. Y. K. Chen, J. Chhugani, P. Dubey, C. J. Hughes, D. Kim, S. Kumar, V. W. Lee, A. D. Nguyen, and M. Smelyanskiy. Convergence of recognition, mining, and synthesis workloads and its implications. Proc. IEEE, 96(5):790--807, May 2008.Google ScholarGoogle ScholarCross RefCross Ref
  2. S. T. Chakradhar and A. Raghunathan. Best-effort computing: Re-thinking parallel software and hardware. In Proc. DAC, pages 865--870, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. A. Breuer. Multi-media applications and imprecise computation. In Proc. Euromicro Conf. on Digital System Design, pages 2--7, Aug.- Sept. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. K. Palem et. al. Sustaining moore's law in embedded computing through probabilistic and approximate design: Retrospects and prospects. In Proc. CASES, pages 1--10, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. L. Leem, H. Cho, J. Bau, Q. A. Jacobson, and S. Mitra. ERSA: Error resilient system architecture for probabilistic applications. In Proc. DATE '10, pages 1560--1565, Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Hoffmann et. al. Dynamic knobs for responsive power-aware computing. In Proc. ASPLOS, pages 199--212, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. V. K. Chippa, D. Mohapatra, A. Raghunathan, K. Roy, and S. T. Chakradhar. Scalable effort hardware design: Exploiting algorithmic resilience for energy efficiency. In Proc. DAC, pages 555--560, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. R. Hegde and N. R. Shanbhag. Energy-efficient signal processing via algorithmic noise-tolerance. In Proc. ISLPED, pages 30--35, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. V. Gupta, D. Mohapatra, S. P. Park, A. Raghunathan, and K. Roy. IMPACT: Imprecise adders for low-power approximate computing. In Proc. ISLPED 2011, pages 409--414, Aug. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. Shin and S. K. Gupta. A re-design technique for datapath modules in error tolerant applications. In Proc. ATS, pages 431--437, Nov. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Kulkarni, P. Gupta, and M. Ercegovac. Trading accuracy for power with an underdesigned multiplier architecture. In Proc. VLSI Design, pages 346--351, Jan. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. B. Kahng, S. Kang, R. Kumar, and J. Sartori. Slack redistribution for graceful degradation under voltage overscaling. In Proc. ASP-DAC, pages 825--831, Jan. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. R. Venkatesan, A. Agarwal, K. Roy, and A. Raghunathan. MACACO: Modeling and analysis of circuits for approximate computing. In Proc. ICCAD, pages 667--673, Nov. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. D. Shin and S. K. Gupta. Approximate logic synthesis for error tolerant applications. In Proc. DATE, pages 957--960, Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. D. Shin and S. K. Gupta. A new circuit simplification method for error tolerant applications. In Proc. DATE, Mar. 2011.Google ScholarGoogle Scholar
  16. A. Lingamneni, C. Enz, J.-L. Nagel, K. Palem, and C. Piguet. Energy parsimonious circuit design through probabilistic pruning. In Proc. DATE, 2011, Mar. 2011.Google ScholarGoogle ScholarCross RefCross Ref
  17. Giovanni De Micheli. Synthesis and Optimization of Digital Circuits. McGraw-Hill Higher Education, 1st edition, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Savoj and R. K. Brayton. The use of observability and external don't cares for the simplification of multi-level networks. In Proc. DAC, pages 297--301, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. K. H. Chang, V. Bertacco, I. L. Markov, and A. Mishchenko. Logic synthesis and circuit customization using extensive external don't-cares. ACM TODAES, 15:26:1--26:24, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. C. Chang and M. M. Sadowska. Perturb and simplify: optimizing circuits with external don't cares. In Proc. ED TC, pages 402--406, mar 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. E. M. Sentovich and K. J. Singh. SIS: A system for sequential circuit synthesis. Technical report, EECS, UCB, 1992.Google ScholarGoogle Scholar
  22. Design Compiler. Synopsys inc.Google ScholarGoogle Scholar

Index Terms

  1. SALSA: systematic logic synthesis of approximate circuits

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '12: Proceedings of the 49th Annual Design Automation Conference
      June 2012
      1357 pages
      ISBN:9781450311991
      DOI:10.1145/2228360

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 June 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader