skip to main content
10.1145/2228360.2228503acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

On the asymptotic costs of multiplexer-based reconfigurability

Published:03 June 2012Publication History

ABSTRACT

Existing literature documents a number of techniques for combining a set of independent datapath designs into a single datapath that is run-time configurable to the functionality of any datapath in the set. This paper explores how delay, energy and area overhead attributable to reconfigurability scales with the number of configurable functionalities, independent of the design of specific datapaths. Distinct design space regions are identified based upon common scaling properties, with implications on the design and feasible efficiency bounds of reconfigurable devices.

References

  1. K. Atasu, C. Ozturan, G. Dundar, O. Mencer, and W. Luk. CHIPS: Custom hardware instruction processor synthesis. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 27(3):528, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. R. Battiti and M. Protasi. Reactive local search for the maximum clique problem. Technical report, Algorithmica, 2001.Google ScholarGoogle Scholar
  3. L. Bertrand and E. Casseau. Automated multimode system design for high performance DSP applications. In Proceedings of the 17th European Signal Processing Conference (EUSIPCO 2009), pages 1289--1293, 2009.Google ScholarGoogle Scholar
  4. C. Chavet, C. Andriamisaina, P. Coussy, E. Casseau, E. Juin, P. Urard, and E. Martin. A design flow dedicated to multi-mode architectures for DSP applications. In Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, pages 604--611. IEEE Press, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. L.-y. Chiou, S. Bhunia, and K. Roy. Synthesis of application-specific highly efficient multi-mode cores for embedded systems. ACM Trans. Embed. Comput. Syst., 4(1):168--188, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Christie and D. Stroobandt. The interpretation and application of Rent's rule. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 8(6):639--648, Dec 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K. Compton. Architecture Generation of Customized Reconfigurable Hardware. PhD thesis, Northwestern University, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. K. Compton and S. Hauck. Totem: Custom reconfigurable array generation. IEEE Symposium on FPGAs for Custom Computing Machines, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. Correale, Jr. Overview of the power minimization techniques employed in the ibm powerpc 4xx embedded controllers. ISLPED '95, pages 75--80, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. Cronquist, C. Fisher, M. Figueroa, P. Franklin, and C. Ebeling. Architecture design of reconfigurable pipelined datapaths. 20th Anniversary Conference on Advanced Research in VLSI, 1999., pages 23--40, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Davis, V. De, and J. Meindl. A stochastic wire-length distribution for gigascale integration (GSI)-Part I: Derivation and validation. IEEE Transactions on Electron Devices, 45(3), 1998.Google ScholarGoogle Scholar
  12. J. Davis, V. De, and J. Meindl. A stochastic wire-length distribution for gigascale integration (GSI)-Part II: Applications to clock frequency, power dissipation, and chip size estimation. IEEE Transactions on Electron Devices, 45(3), 1998.Google ScholarGoogle Scholar
  13. W. Donath. Placement and average interconnection lengths of computer logic. Circuits and Systems, IEEE Transactions on, 26(4):272--277, Apr 1979.Google ScholarGoogle Scholar
  14. W. Donath. Wire length distribution for placements of computer logic. IBM Journal of Research and Development, 25(2-3):152--155, 1981. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. W. Geurts, F. Catthoor, S. Vernalde, and H. De Man. Accelerator Data-Path Synthesis for High-Throughput Signal Processing Applications. Kluwer Academic Pub, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Hauck, K. Compton, K. Eguro, M. Holland, S. Phillips, and A. Sharma. Totem: Domain-Specific Reconfigurable Logic. submitted to IEEE Transactions on VLSI, 2008.Google ScholarGoogle Scholar
  17. Z. Huang and S. Malik. Managing dynamic reconfiguration overhead in systems-on-a-chip design using reconfigurable datapaths and optimized interconnection networks. Design, Automation and Test in Europe Conference, 0:0735, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. I. Kuon and J. Rose. Measuring the gap between FPGAs and ASICs. In FPGA '06: Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays, pages 21--30, New York, NY, USA, 2006. ACM Press. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. P. Kwan and C. T. Clarke. FPGAs for improved energy efficiency in processor based systems. Advances in Computer Systems Architecture: 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24--26, 2005: Proceedings, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. B. Landman and R. Russo. On a pin versus block relationship for partitions of logic graphs. IEEE Transactions on Computers, C-20:1469--1479, December 1971. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Malik. Analysis of cyclic combinational circuits. In IEEE/ACM International Conference on Computer-Aided Design, pages 618--625, Nov 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. N. Moreano, G. Araujo, Z. Huang, and S. Malik. Datapath merging and interconnection sharing for reconfigurable architectures. In ISSS '02: Proceedings of the 15th international symposium on System Synthesis, pages 38--43, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. N. Moreano, E. Borin, C. D. Souza, and G. Araujo. Efficient datapath merging for partially reconfigurable architectures. In IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, pages 969--980, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. K. Parnell and R. Bryner. Comparing and contrasting FPGA and microprocessor system design and development. Technical report, Xilinx, 2004.Google ScholarGoogle Scholar
  25. M. Rullmann and R. Merker. Maximum edge matching for reconfigurable computing. In Reconfigurable Architectures Workshop at 13th IEEE International Parallel & Distributed Processing Symposium (IPDPS 2006), Rhodes, Greece. Citeseer, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. M. Rullmann, R. Merker, H. Hinkelmann, P. Zipf, and M. Glesner. An Integrated Tool Flow to Realize Runtime-Reconfigurable Applications on a New Class of Partial Multi-Context FPGAs. In Proc. 19th Intl. Conf. on Field Programmable Logic and Appls., 2009.Google ScholarGoogle ScholarCross RefCross Ref
  27. N. Shirazi, W. Luk, and P. Cheung. Automating production of run-time reconfigurable designs. Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 0:147, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. C. C. d. Souza, A. M. Lima, G. Araujo, and N. B. Moreano. The datapath merging problem in reconfigurable systems: Complexity, dual bounds and heuristic evaluation. J. Exp. Algorithmics, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. D. Stroobandt. Improving Donath's technique for estimating the average interconnection length in computer logic. ELIS Technical Report, 1996.Google ScholarGoogle Scholar
  30. M. Zuluaga and N. Topham. Resource sharing in custom instruction set extensions. In Proceedings of the 6th IEEE Symposium on Application Specific Processors. (Jun. 2008), 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. On the asymptotic costs of multiplexer-based reconfigurability

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '12: Proceedings of the 49th Annual Design Automation Conference
      June 2012
      1357 pages
      ISBN:9781450311991
      DOI:10.1145/2228360

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 June 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader