skip to main content
10.1145/2228360.2228505acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Timing ECO optimization using metal-configurable gate-array spare cells

Published:03 June 2012Publication History

ABSTRACT

Due to the rapidly increasing design complexity in modern IC designs, metal-only engineering change order (ECO) becomes inevitable to achieve design closure with a low respin cost. Traditionally, preplaced redundant standard cells are regarded as spare cells. However, these cells are limited by predefined functionalities and locations, and they always consume leakage power despite their inputs are tied off. To overcome the inflexibility and power overhead, a new type of spare cells, metal-configurable gate-array spare cells, are considered. Therefore, in this paper, we address a new ECO problem: Timing ECO optimization using metal-configurable gate-array spare cells. We first study the properties for this new ECO problem, propose a new metric, aliveness, to model the capability of a spare gate array, and then develop a timing ECO optimization framework based on aliveness, routability, and timing satisfaction. Experimental results show that our approach delivers superior efficiency and effectiveness.

References

  1. A. Balasinski. Optimization of sub-100-nm designs for mask cost reduction. SPIE JM3, vol. 3 no. 2, pp. 322--331, Apr. 2004.Google ScholarGoogle Scholar
  2. K.-H. Ho et al. ECO timing optimization using spare cells and technology remapping. IEEE TCAD, vol. 29, no. 5, pp. 697--710, May 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S.-Y. Fang et al. Redundant-wires-aware ECO timing and mask-cost optimization. In Proc. ICCAD, pp. 381--386, Nov. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. K.-H. Ho et al. TRECO: dynamic technology remapping for timing engineering change orders. In Proc. ASP-DAC, pp. 331--336, Jan. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y.-M. Kuo et al. Engineering change using spare cells with constant insertion. In Proc. ICCAD, pp. 544--547, Nov. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Modi and M. Marek-Sadowska. ECO-map: technology remapping for post-mask ECO using simulated annealing. In Proc. ICCD, pp. 652--657, Oct. 2008.Google ScholarGoogle ScholarCross RefCross Ref
  7. I. H.-R. Jiang et al. Matching-based minimum-cost spare cell selection for design changes. In Proc. DAC, pp. 408--411, Jul. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S.-L. Huang et al. A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimization. In Proc. ASP-DAC, pp. 382--387, Jan. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. C.-P. Lu et al. A metal only-ECO solver for input slew and output loading violations. In Proc. ISPD, pp. 191--198, Mar. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. H.-Y. Chang et al. Simultaneous functional and timing ECO. In Proc. DAC, pp. 140--145, Jun. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. H.-Y. Chang et al. Timing ECO optimization via Bézier curve smoothing and fixability identification. In Proc. ICCAD, pp. 742--746, Nov. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K.-C. Wu and Y.-W. Tsai. Structured ASIC, evolution or revolution? In Proc. ISPD, pp. 103--106, Apr. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. Petit, STMicroelectronics. Important ECOs implementation using gate-array-like mask configurable cells. Cadence CDNLive! EMEA User Conference, May 2011.Google ScholarGoogle Scholar
  14. ARM Artisan Physical IP. SC12 Standard Cell Library ECO Kit, High Performance (TSMC 40nm G).Google ScholarGoogle Scholar
  15. L. Ciccarelli et al. Base cell for engineering change order (ECO) implementation. US Patent US 2010/0164547 A1, Jul. 2010.Google ScholarGoogle Scholar
  16. L.-C. Tien. Method for reducing layers revision in engineering change order. US Patent 7137094 B2, Nov. 2006.Google ScholarGoogle Scholar
  17. G. S. Tsapepas et al. Spare gate array cell distribution analysis. US Patent 7676776 B2, March 2010.Google ScholarGoogle Scholar
  18. H.-T. Chen et al. New spare cell design for IR drop minimization in engineering change order. In Proc. DAC, pp. 402--407, Jul. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Liberty: The EDA library modeling standard. http://www.opensourceliberty.org/.Google ScholarGoogle Scholar
  20. C. Chu and Y.-C. Wong. FLUTE: Fast lookup table-based rectilinear Steiner minimal tree algorithm for VLSI Design. IEEE TCAD, vol. 27, no. 1, pp. 70--83, Jan. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. A. Guttman. R-trees: A dynamic index structure for spatial searching. In Proc. SIGMOD, pp. 47--57, Jun. 1984. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. H.-K. Hsu et al. Novel routability-driven analytical placement for mixed-size circuit designs. In Proc. ICCAD, pp. 80--84, Nov. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. IBM ILOG CPLEX Optimizer. http://www.ilog.com/products/cplex/.Google ScholarGoogle Scholar

Index Terms

  1. Timing ECO optimization using metal-configurable gate-array spare cells

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '12: Proceedings of the 49th Annual Design Automation Conference
      June 2012
      1357 pages
      ISBN:9781450311991
      DOI:10.1145/2228360

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 June 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader