skip to main content
10.1145/2228360.2228524acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

On software design for stochastic processors

Published:03 June 2012Publication History

ABSTRACT

Much recent research [8, 6, 7] suggests significant power and energy benefits of relaxing correctness constraints in future processors. Such processors with relaxed constraints have often been referred to as stochastic processors [10, 15, 11]. In this paper we present three approaches for building applications for such processors. The first approach relies on relaxing the correctness of the application based upon an analysis of application characteristics. The second approach relies upon detecting and then correcting faults within the application as they arise. The third approach transforms applications into more error tolerant forms. In this paper, we show how these techniques that enhance or exploit the error tolerance of applications can yield significant power and energy benefits when computed on stochastic processors.

References

  1. M. Carbin, D. Kim, S. Misailovic, and M. C. Rinard, editors. the 33rd ACM SIGPLAN Conference on Programming Language Design and Implementation(PLDI), Beijing. ACM, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Timothy A. Davis. University of florida sparse matrix collection. NA Digest, 92, 1994.Google ScholarGoogle Scholar
  3. W. Fung, I. Sham, G. Yuan, and T. Aamodt. Dynamic warp formation and scheduling for efficient gpu control flow. In MICRO, pages 407--420, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. Hegde and N. R. Shanbhag. Energy-efficient signal processing via algorithmic noise-tolerance. In Low Power Electronics and Design, 1999. Proceedings. 1999 International Symposium on, pages 30--35, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Kuang-Hua Huang and J. A. Abraham. Algorithm-based fault tolerance for matrix operations. Computers, IEEE Transactions on, C-33(6):518--528, 1984. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. Kahng, S. Kang, R. Kumar, and J. Sartori. Designing a processor from the ground up to allow voltage/reliability tradeoffs. In IEEE International Symposium on High-Performance Computer Architecture(HPCA), 2010.Google ScholarGoogle ScholarCross RefCross Ref
  7. A. Kahng, S. Kang, R. Kumar, and J. Sartori. Recovery-driven design: A methodology for power minimization for error tolerant processor modules. In the 47th Design Automation Conference (DAC), June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Kahng, S. Kang, R. Kumar, and J. Sartori. Slack redistribution for graceful degradation under voltage overscaling. In Asia and South Pacific Design and Automation Conference (ASPDAC), January 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. Kesler, B. Deka, and R. Kumar. A hardware acceleration technique for gradient descent and conjugate gradient. In Application Specific Processors (SASP), 2011 IEEE 9th Symposium on, june 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Kumar. Stochastic processors. In NSF Workshop on Science of Power Management, March 2009.Google ScholarGoogle Scholar
  11. S. Narayanan, J. Sartori, R. Kumar, and D. L. Jones. Scalable stochastic processors. In Design, Automation Test in Europe Conference Exhibition (DATE), 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A Nemirovski, A Juditsky, G Lan, and A Shapiro. Robust stochastic approximation approach to stochastic programming. SIAM Journal on Optimization, 19(4), 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Sartori and R. Kumar. Architecting processors to allow voltage/reliability tradeoffs. In CASES, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Sartori and R. Kumar. Compiling for energy efficiency on timing speculative processors. In the 49th Design Automation Conference(DAC), June 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. N. Shanbhag, R. Abdallah amd R. Kumar, and D. Jones. Stochastic computation. In the 47th Design Automation Conference(DAC), June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Sloan, D. Kesler, R. Kumar, and A. Rahimi. A numerical optimization-based methodology for application robustification: Transforming applications for error tolerance. In Dependable Systems and Networks (DSN), 2010, June 2010.Google ScholarGoogle ScholarCross RefCross Ref
  17. J. Sloan, R. Kumar, G. Bronevetsky, and T. Kolev. Algorithmic approaches to low overhead fault detection for sparse linear algebra. In Dependable Systems and Networks (DSN), 2012, 2012-july 1 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Wikipedia. Mandelbrot set, 2011. http://en.wikipedia.org/wiki/Mandelbrot_set.Google ScholarGoogle Scholar

Index Terms

  1. On software design for stochastic processors

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '12: Proceedings of the 49th Annual Design Automation Conference
        June 2012
        1357 pages
        ISBN:9781450311991
        DOI:10.1145/2228360

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 3 June 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader