skip to main content
survey

A Survey of Research into Mixed Criticality Systems

Published:22 November 2017Publication History
Skip Abstract Section

Abstract

This survey covers research into mixed criticality systems that has been published since Vestal’s seminal paper in 2007, up until the end of 2016. The survey is organised along the lines of the major research areas within this topic. These include single processor analysis (including fixed priority and Earliest Deadline First (EDF) scheduling, shared resources, and static and synchronous scheduling), multiprocessor analysis, realistic models, and systems issues. The survey also explores the relationship between research into mixed criticality systems and other topics such as hard and soft time constraints, fault tolerant scheduling, hierarchical scheduling, cyber physical systems, probabilistic real-time systems, and industrial safety standards.

References

  1. L. Abdallah, M. Jan, J. Ermont, and C. Fraboul. 2016. I/O contention aware mapping of multi-criticalities real-time applications over many-core architectures. In Proc. WiP, RTAS. 25--28.Google ScholarGoogle Scholar
  2. L. Abeni and G. Buttazzo. 1998. Integrating multimedia applications in hard real-time systems. In Proc. Real-Time Systems Symposium. 3--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. A. Addisu, L. George, V. Sciandra, and M. Agueh. 2013. Mixed criticality scheduling applied to JPEG2000 video streaming over wireless multimedia sensor networks. In Proc. WMC, RTSS. 55--60.Google ScholarGoogle Scholar
  4. H. Ahmadian and R. Obermaisser. 2015. Time-triggered extension layer for on-chip network interfaces in mixed-criticality systems. In Proc. Digital System Design (DSD). IEEE, 693--699. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Z. Al-Bayati, J. Caplan, B. H. Meyer, and H. Zeng. 2016. A four-mode model for efficient fault-tolerant mixed-criticality systems. In Proc. DATE. IEEE, 97--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Z. Al-Bayati, Q. Zhao, A. Youssef, H. Zeng, and Z. Gu. 2015. Enhanced partitioned scheduling of mixed-criticality systems on multicore platforms. In Proc. 20th Asia and South Pacific Design Automation Conference (ASP-DAC). 630--635.Google ScholarGoogle Scholar
  7. B. Alahmad and S. Gopalakrishnan. 2016. A risk-constrained Markov decision process approach to scheduling mixed-criticality job sets. In Proc. 4th WMC (RTSS). https://hal.archives-ouvertes.fr/hal-01403223.Google ScholarGoogle Scholar
  8. I. Ali, J. Seo, and K. H. Kim. 2015. A dynamic power-aware scheduling of mixed-criticality real-time systems. In Computer and Information Technology; Ubiquitous Computing and Communications; Dependable, Autonomic and Secure Computing; Pervasive Intelligence and Computing (CIT/IUCC/DASC/PICOM). 438--445.Google ScholarGoogle Scholar
  9. A. Alonso, J. A. de la Puente, J. Zamorano, M. A. de Miguel, E. Salazar, and J. Garrido. 2015. Safety concept for a mixed criticality on-board software system. IFAC-PapersOnLine 48, 10 (2015), 240--245.Google ScholarGoogle ScholarCross RefCross Ref
  10. A. Alonso, C. Jouvray, S. Trujillo, M. A. de Miguel, C. Grepet, and J. Simo. 2013. Towards model-driven engineering for mixed-criticality systems: MultiPARTES approach. In Proc. Design, Automation and Test in Europe, WICERT(DATE).Google ScholarGoogle Scholar
  11. A. Alonso, E. Salazar, and M. A. de Miguel. 2014. A toolset for the development of mixed-criticality partitioned systems. In HiPEAC Workshop.Google ScholarGoogle Scholar
  12. S. Altmeyer, L. Cucu-Grosjean, and R. I. Davis. 2015. Static probabilistic timing analysis for real-time systems using random replacement caches. Real-Time Systems 51, 1 (2015), 77--123. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. H. Anderson, S. K. Baruah, and B. B. Brandenburg. 2009. Multicore operating-system support for mixed criticality. In Proc. Workshop on Mixed Criticality: Roadmap to Evolving UAV Certification.Google ScholarGoogle Scholar
  14. E. Armbrust, J. Song, G. Bloom, and G. Parmer. 2014. On spatial isolation for mixed-criticality, embedded systems. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 15--20.Google ScholarGoogle Scholar
  15. S. Asyaban, M. Kargahi, L. Thiele, and M. Mohaqeqi. 2016. Analysis and scheduling of a battery-less mixed-criticality system with energy uncertainty. ACM Transactions on Embedded Computing Systems (TECS) 16, 1 (2016), 23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. C. Audsley. 2001. On priority assignment in fixed priority scheduling. Information Processing Letters 79, 1 (2001), 39--44. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. C. Audsley. 2013. Memory architectures for NoC-based real-time mixed criticality systems. In Proc. WMC, RTSS. 37--42.Google ScholarGoogle Scholar
  18. N. C. Audsley, A. Burns, M. Richardson, K. Tindell, and A. J. Wellings. 1993. Applying new scheduling theory to static priority preemptive scheduling. Software Engineering Journal 8, 5 (1993), 284--292.Google ScholarGoogle ScholarCross RefCross Ref
  19. M. A. Awan, K. Bletsas, P. Souto, B. Akesson, E. Tovar, and J. Ali. 2016. Mixed-criticality scheduling with memory regulation. In Proc. WiP, ECRTS. 22.Google ScholarGoogle Scholar
  20. P. Axer, M. Sebastian, and R. Ernst. 2011. Reliability analysis for MPSoCs with mixed-critical, hard real-time constraints. In Proc. 17th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS’11). ACM, 149--158. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. T. P. Baker. 1990. A stack-based resource allocation policy for realtime processes. In Proc. IEEE Real-Time Systems Symposium (RTSS). 191--200.Google ScholarGoogle ScholarCross RefCross Ref
  22. J. Barhorst, T. Belote, P. Binns, J. Hoffman, J. Paunicka, P. Sarathy, J. Scoredos, P. Stanfill, D. Stuart, and R. Urzi. 2009. White Paper: A Research Agenda for Mixed-Criticality Systems. (April 2009). Available at http://www.cse.wustl.edu/∼cdgill/CPSWEEK09_MCAR.Google ScholarGoogle Scholar
  23. S. K. Baruah. 2004. Optimal utilization bounds for fixed priority scheduling of periodic task systems on identical multiprocessors. IEEE Transactions on Software Engineering 53, 6 (2004). Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. K. Baruah. 2012a. Certification-cognizant scheduling of tasks with pessimistic frequency specification. In Proc. 7th IEEE International Symposium on Industrial Embedded Systems (SIES’12). 31--38.Google ScholarGoogle ScholarCross RefCross Ref
  25. S. K. Baruah. 2012b. Semantic-preserving implementation of multirate mixed criticality synchronous programs. In Proc. RTNS. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. S. Baruah. 2013a. Implementing mixed-criticality synchronous reactive programs upon uniprocessor platforms. Real-Time Systems Journal 49, 6 (2013).Google ScholarGoogle Scholar
  27. S. K. Baruah. 2013b. Response-time Analysis of Mixed Criticality Systems with Pessimistic Frequency Specification. Technical Report. University of North Carolina at Chapel Hill.Google ScholarGoogle Scholar
  28. S. K. Baruah. 2016a. The federated scheduling of systems of mixed-criticality sporadic DAG tasks. In Proc. Real-Time Systems Symposium (RTSS). IEEE, 227--236.Google ScholarGoogle ScholarCross RefCross Ref
  29. S. K. Baruah. 2016b. Schedulability analysis of mixed-criticality systems with multiple frequency specifications. In Proc. International Conference on Embedded Software (EMSOFT). ACM, 24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. S. K. Baruah. 2016c. Scheduling analysis for a general model of mixed-criticality recurrent real-time tasks. In Proc. IEEE RTSS. 25--34.Google ScholarGoogle Scholar
  31. S. K. Baruah, V. Bonifaci, G. D’Angelo, H. Li, A. Marchetti-Spaccamela, N. Megow, and L. Stougie. 2010a. Scheduling real-time mixed-criticality jobs. In Proc. 35th International Symposium on the Mathematical Foundations of Computer Science, Lecture Notes in Computer Science, Vol. 6281, P. Hliněný and A. Kučera (Eds.). Springer, 90--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. S. K. Baruah, V. Bonifaci, G. D’Angelo, H. Li, A. Marchetti-Spaccamela, N. Megow, and L. Stougie. 2011a. Mixed-criticality scheduling. In Proc. 10th Workshop on Models and Algorithms for Planning and Scheduling Problems (MAPSP). 1--3.Google ScholarGoogle Scholar
  33. S. K. Baruah, V. Bonifaci, G. D’Angelo, H. Li, A. Marchetti-Spaccamela, N. Megow, and L. Stougie. 2012a. Scheduling real-time mixed-criticality jobs. IEEE Transactions on Computers 61, 8 (2012), 1140--1152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. S. Baruah, V. Bonifaci, G. D’angelo, H. Li, A. Marchetti-Spaccamela, S. Van Der Ster, and L. Stougie. 2015a. Preemptive uniprocessor scheduling of mixed-criticality sporadic task systems. Journal of the ACM (JACM) 62, 2 (2015), 14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. S. K. Baruah, V. Bonifaci, G. D’Angelo, H. Li, A. Marchetti-Spaccamela, S. van der Ster, and L. Stougie. 2012b. The preemptive uniprocessor scheduling of mixed-criticality implicit-deadline sporadic task systems. In Proc. ECRTS. 145--154. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. S. K. Baruah, V. Bonifaci, G. D’Angelo, A. Marchetti-Spaccamela, S. van der Ster, and L. Stougie. 2011b. Mixed-criticality scheduling of sporadic task systems. In Proc. 19th Annual European Symposium on Algorithms (ESA 2011), Lecture Notes in Computer Science, Vol. 6942. 555--566. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. S. K. Baruah and A. Burns. 2011. Implementing mixed criticality systems in Ada. In Proc. Reliable Software Technologies (Ada-Europe’11), A. Romanovsky (Ed.). Springer, 174--188. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. S. K. Baruah and A. Burns. 2013. Fixed-priority scheduling of dual-criticality systems. In Proc. 21st RTNS. ACM, 173--182. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. S. Baruah and A. Burns. 2014. Achieving temporal isolation in multiprocessor mixed-criticality systems. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 21--26.Google ScholarGoogle Scholar
  40. S. Baruah, A. Burns, and R. I. Davis. 2013. An extended fixed priority scheme for mixed criticality systems. In Proc. ReTiMiCS, RTCSA, L. George and G. Lipari (Eds.). 18--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. S. K. Baruah, A. Burns, and R. I. Davis. 2011c. Response-time analysis for mixed criticality systems. In IEEE Real-Time Systems Symposium (RTSS). 34--43. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. S. Baruah and B. Chattopadhyay. 2013. Response-time analysis of mixed criticality systems with pessimistic frequency specification. In Proc. RTCSA.Google ScholarGoogle Scholar
  43. S. K. Baruah, B. Chattopadhyay, H. Li, and I. Shin. 2014. Mixed-criticality scheduling on multiprocessors. Real-Time Systems Journal 50 (2014), 142--177. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. S. K. Baruah, A. Easwaran, and Z. Guo. 2015b. MC-fluid: Simplified and optimally quantified. In Proc. IEEE Real-Time Systems Symposium (RTSS). 327--337. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. S. K. Baruah, A. Easwaran, and Z. Guo. 2016b. Mixed-criticality scheduling to minimize makespan. In LIPIcs-Leibniz International Proceedings in Informatics, Vol. 65.Google ScholarGoogle Scholar
  46. S. K. Baruah and G. Fohler. 2011. Certification-cognizant time-triggered scheduling of mixed-criticality systems. In Proc. IEEE Real-time Systems Symposium 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. S. Baruah and Z. Guo. 2013. Mixed-criticality scheduling upon varying-speed processors. In Proc. IEEE 34th Real-Time Systems Symposium. 68--77. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. S. Baruah and Z. Guo. 2014. Scheduling mixed-criticality implicit-deadline sporadic task systems upon a varying-speed processor. In Proc. IEEE Real-Time Systems Symposium. IEEE, 31--400.Google ScholarGoogle Scholar
  49. S. K. Baruah and Z. Guo. 2015. Mixed-criticality job models: A comparison. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 1--5.Google ScholarGoogle Scholar
  50. S. K. Baruah, H. Li, and L. Stougie. 2010b. Mixed-criticality scheduling: Improving resource-augmented results. In Computers and Their Applications, ISCA. 217--223.Google ScholarGoogle Scholar
  51. S. K. Baruah, H. Li, and L. Stougie. 2010c. Towards the design of certifiable mixed-criticality systems. In Proc. IEEE Real-Time Technology and Applications Symposium (RTAS). IEEE, 13--22. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. S. K. Baruah and S. Vestal. 2008. Schedulability analysis of sporadic tasks with multiple criticality specifications. In Proc. ECRTS. 147--155. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. S. K. Baruah, A. Burns, and Z. Guo. 2016b. Scheduling mixed-criticality systems to guarantee some service under all non-erroneous behaviours. In Proc. ECRTS. 131--140.Google ScholarGoogle Scholar
  54. S. K Baruah, N. K. Cohen, C. G. Plaxton, and D. A. Varvel. 1996. Proportionate progress: A notion of fairness in resource allocation. Algorithmica 15, 6 (1996), 600--625. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. S. K. Baruah, L. Cucu-Grosjean, R. I. Davis, and C. Maiza. 2015c. Mixed criticality on multicore/manycore platforms (Dagstuhl seminar 15121). Dagstuhl Reports 5, 3 (2015), 84--142. 2192-5283Google ScholarGoogle Scholar
  56. I. Bate, A. Burns, and R. I. Davis. 2015. A bailout protocol for mixed criticality systems. In Proc. 27th ECRTS. 259--268. Google ScholarGoogle ScholarDigital LibraryDigital Library
  57. I. Bate, A. Burns, and R. I. Davis. 2016. An enhanced bailout protocol for mixed criticality embedded software. IEEE Transactions on Software Engineering PP, 99 (2016). Google ScholarGoogle ScholarDigital LibraryDigital Library
  58. K. J. Biba. 1977. Integrity Considerations for Secure Computer Systems. Mtr-3153. Mitre Corporation.Google ScholarGoogle Scholar
  59. E. Bini, M. Di Natale, and G. C. Buttazzo. 2006. Sensitivity analysis for fixed-priority real-time systems. In Proc. ECRTS. 13--22. Google ScholarGoogle ScholarDigital LibraryDigital Library
  60. A. Blin, C. Courtaud, J. Sopena, and G. Muller. 2016. Maximizing parallelism without exploding deadlines in a mixed-criticality embedded system. In Proc. ECRTS. 109--119.Google ScholarGoogle Scholar
  61. M. Bommert. 2013. Schedule-aware distributed of parallel load in a mixed criticality environment. In Proc. JRWRTC, RTNS. 21--24.Google ScholarGoogle Scholar
  62. Bosch. 1991. CAN Specification Version 2.0. Technical Report. Postfach 30 02 40, D-70442 Stuttgart.Google ScholarGoogle Scholar
  63. B. B. Brandenburg. 2014. A synchronous IPC protocol for predicatable access to shared resources in mixed-criticality systems. In Proc. IEEE Real-Time Systems Symposium. IEEE, 196--206.Google ScholarGoogle Scholar
  64. F. Broekaert, A. Fritsch, L. Sa, and S. Tverdyshev. 2013. Towards power-efficient mixed-critical systems. In Proc. OSPERT 2013. 30--35.Google ScholarGoogle Scholar
  65. I. Broster and A. Burns. 2003. An analysable bus-guardian for event-triggered communication. In Proc. 24th Real-time Systems Symposium. Computer Society, IEEE, 410--419. Google ScholarGoogle ScholarDigital LibraryDigital Library
  66. A. Burns. 1994. Preemptive priority based scheduling: An appropriate engineering approach. In Advances in Real-Time Systems, S. H. Son (Ed.). Prentice-Hall, 225--248. Google ScholarGoogle ScholarDigital LibraryDigital Library
  67. A. Burns. 2013. The application of the original priority ceiling protocol to mixed criticality systems. In Proc. ReTiMiCS, RTCSA, L. George and G. Lipari (Eds.). 7--11.Google ScholarGoogle Scholar
  68. A. Burns. 2014. System mode changes - general and criticality-based. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 3--8.Google ScholarGoogle Scholar
  69. A. Burns. 2015. An augmented model for mixed criticality. In Mixed Criticality on Multicore/Manycore Platforms (Dagstuhl Seminar 15121), Davis Baruah, Cucu-Grosjean and Maiza (Eds.). Vol. 5(3). Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik, Dagstuhl, Germany, 92--93.Google ScholarGoogle Scholar
  70. A. Burns and S. Baruah. 2011. Timing faults and mixed criticality systems. In Dependable and Historic Computing, Jones and Lloyd (Eds.). Lecture Notes in Computer Science, Vol. 6875. Springer, 147--166. Google ScholarGoogle ScholarDigital LibraryDigital Library
  71. A. Burns and S. Baruah. 2013. Towards a more practical model for mixed criticality systems. In Proc. 1st Workshop on Mixed Criticality Systems (WMC), RTSS. 1--6.Google ScholarGoogle Scholar
  72. A. Burns and S. K. Baruah. 2015. Semi-partitioned cyclic executives for mixed criticality systems. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 36--41.Google ScholarGoogle Scholar
  73. A. Burns and R. I. Davis. 2013. Mixed criticality on controller area network. In Proc. Euromicro Conference on Real-Time Systems (ECRTS). 125--134. Google ScholarGoogle ScholarDigital LibraryDigital Library
  74. A. Burns and R. I. Davis. 2014. Adaptive mixed criticality scheduling with deferred preemption. In Proc. IEEE Real-Time Systems Symposium. IEEE, 21--30.Google ScholarGoogle Scholar
  75. A. Burns, T. Fleming, and S. Baruah. 2015. Cyclic executives, multi-core platforms and mixed-criticality applications. In Proc. 27th ECRTS. 3--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  76. A. Burns, J. Harbin, and L. S. Indrusiak. 2014. A wormhole NoC protocol for mixed criticality systems. In Proc. IEEE Real-Time Systems Symposium. IEEE, 184--195.Google ScholarGoogle Scholar
  77. G. Buttazzo, G. Lipari, and L. Abeni. 1998. Elastic task model for adaptive rate control. In IEEE Real-Time Systems Symposium. 286--295. Google ScholarGoogle ScholarDigital LibraryDigital Library
  78. G. Carvajal and S. Fischmeister. 2013. An open platform for mixed-criticality real-time ethernet. In Proc. Conference on Design, Automation and Test in Europe (Proc. DATE). 153--156. Google ScholarGoogle ScholarDigital LibraryDigital Library
  79. F. J. Cazorla, E. Quiones, T. Vardanega, L. Cucu-Grosjean, B. Triquet, G. Bernat, E. D. Berger, J. Abella, F. Wartel, M. Houston, L. Santinelli, L. Kosmidis, C. Lo, and D. Maxim. 2013. PROARTIS: Probabilistically analyzable real-time systems. ACM Transactions on Embedded Computer Systems 12, 2 (2013), 94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  80. F. Checconi, T. Cucinotta, D. Faggioli, and G. Lipari. 2009. Hierarchical multiprocessor CPU reservations for the linux kernel. In Proc. 5th International Workshop on Operating Systems Platforms for Embedded Real-Time Applications (OSPERT’09).Google ScholarGoogle Scholar
  81. C. Chekuri and S. Khanna. 2004. On multidimensional packing problems. SIAM Journal on Computing 33, 4 (April 2004), 837--851. Google ScholarGoogle ScholarDigital LibraryDigital Library
  82. Y. Chen, K. G. Shin, and H. Xiong. 2016. Generalizing fixed-priority scheduling for better schedulability in mixed-criticality systems. Information Processing Letters 116, 8 (2016), 508--512. Google ScholarGoogle ScholarDigital LibraryDigital Library
  83. H. Chetto and M. Chetto. 1989. Some results of the earliest deadline scheduling algorithm. IEEE Transactions on Software Engineering 15, 10 (1989), 1261--1269. Google ScholarGoogle ScholarDigital LibraryDigital Library
  84. M. Chisholm, N. Kim, B. C. Ward, N. Otterness, J. H. Anderson, and F. D. Smith. 2016. Reconciling the tension between hardware isolation and data sharing in mixed-criticality, multicore systems. In Proc. Real-Time Systems Symposium (RTSS). IEEE, 57--68.Google ScholarGoogle Scholar
  85. M. Chisholm, B. C. Ward, N. Kim, and J. H. Anderson. 2015. Cache sharing and isolation tradeoffs in multicore mixed-criticality systems. In Proc. 2015 IEEE Real-Time Systems Symposium. 305--316. Google ScholarGoogle ScholarDigital LibraryDigital Library
  86. M. Chrisholm, B. Ward, N. Kim, and J. Anderson. 2015. Cache-sharing and isolation tradeoffs in multicore mixed-criticality systems. In Proc. IEEE Real-Time Systems Symposium (RTSS). 305--316. Google ScholarGoogle ScholarDigital LibraryDigital Library
  87. B. Cilku, A. Crespo, P. Puschner, J. Coronel, and S. Peiro. 2014. A memory arbitration scheme for mixed-criticality multocore platforms. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 27--32.Google ScholarGoogle Scholar
  88. B. Cilku, A. Crespo, P. Puschner, J. Coronel, and S. Peiro. 2015. A TDMA-based arbitration scheme for mixed-criticality multicore platforms. In Proc. EBCCSP. IEEE, 1--6.Google ScholarGoogle Scholar
  89. B. Cilku and P. Puschner. 2013. Towards temporal and spatial isolation in memory hierarchies for mixed-criticality systems with hypervisors. In Proc. ReTiMiCS, RTCSA, L. George and G. Lipari (Eds.). 25--28.Google ScholarGoogle Scholar
  90. A. Cohen, V. Perrelle, D. Potop-Butucaru, E. Soubiran, and Z. Zhang. 2014. Mixed-criticality in railway systems: A case study on signaling application. Ada User Journal, Proc. Workshop on Mixed Criticality for Industrial Systems (WMCIS’14) 35, 2 (2014), 138--143.Google ScholarGoogle Scholar
  91. A. Crespo, A. Alonso, M. Marcos, J. A. Puente, and P. Balbastre. 2014. Mixed criticality in control systems. In Proc. 19th World Congress The Federation of Automatic Control. 12261--12271.Google ScholarGoogle Scholar
  92. O. Cros, F. Fauberteau, L. George, and X. Li. 2014. Mixed-criticality over switched ethernet networks. Ada User Journal, Proc. Workshop on Mixed Criticality for Industrial Systems (WMCIS’14) 35, 2 (2014), 138--143.Google ScholarGoogle Scholar
  93. O. Cros, L. George, and X.Li. 2015. A protocol for mixed-criticality management in switched ethernet networks. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 12--17.Google ScholarGoogle Scholar
  94. L. Cucu-Grosjean. 2013. Independence—A misunderstood property of and for probabilistic real-time systems. In Real-Time Systems: The Past, the Present and The Future, N. Audsley and S. K. Baruah (Eds.). 29--37.Google ScholarGoogle Scholar
  95. L. Cucu-Grosjean, L. Santinelli, M. Houston, C. Lo, T. Vardanega, L. Kosmidis, J. Abella, E. Mezzetti, E. Quiones, and F. J. Cazorla. 2012. Measurement-based probabilistic timing analysis for multi-path programs. In Proc. 24th Euromicro Conference on Real-Time Systems (ECRTS). 91--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  96. V. David, A. Barbot, and D. Chabrol. 2014. Dependable real-time system and mixed criticality: Seeking safety, flexibility and efficiency with Kron-OS. Ada User Journal 35, 4 (2014), 259--265.Google ScholarGoogle Scholar
  97. R. I. Davis. 1995. On Exploiting Spare Capacity in Hard Real-time Systems. Ph.D. Dissertation. University of York, UK.Google ScholarGoogle Scholar
  98. R. I. Davis and M. Bertogna. 2012. Optimal fixed priority scheduling with deferred pre-emption. In Proc. IEEE Real-Time Systems Symposium. 39--50. Google ScholarGoogle ScholarDigital LibraryDigital Library
  99. R. I. Davis and A. Burns. 2005. Hierarchical fixed priority preemptive scheduling. In Proc. IEEE Real-Time Systems Symposium (RTSS). 389--398. Google ScholarGoogle ScholarDigital LibraryDigital Library
  100. R. I. Davis and A. Burns. 2006. Resource sharing in hierarchical fixed priority preemptive systems. In Proc. IEEE Real-Time Systems Symposium (RTSS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  101. R. I. Davis and A. Burns. 2007. Robust priority assignment for fixed priority real-time systems. In Proc. IEEE Real-Time Systems Symposium (RTSS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  102. R. I. Davis, A. Burns, R. J. Bril, and J. J. Lukkien. 2007. Controller area network (CAN) schedulability analysis: Refuted, revisited and revised. Journal of Real-Time Systems 35, 3 (2007), 239--272. Google ScholarGoogle ScholarDigital LibraryDigital Library
  103. R. I. Davis, L. Santinelli, S. Altmeyer, C. Maiza, and L. Cucu-Grosjean. 2013. Analysis of probabilistic cache related pre-emption delays. In Proc. ECRTS. 129--138. Google ScholarGoogle ScholarDigital LibraryDigital Library
  104. R. I. Davis, K. Tindell, and A. Burns. 1993. Scheduling slack time in fixed priority preemptive systems. In Proc. 14th IEEE Real-Time Systems Symposium.Google ScholarGoogle Scholar
  105. R. I. Davis and A. J. Wellings. 1995. Dual priority scheduling. In Proc. 16th IEEE Real-Time Systems Symposium. 100--109. Google ScholarGoogle ScholarDigital LibraryDigital Library
  106. M. L. Dertouzos. 1974. Control robotics: The procedural control of physical processes. In IFIP Congress (2002-01-03). 807--813.Google ScholarGoogle Scholar
  107. J. L. Díaz, D. F. Garcia, K. Kim, C. G. Lee, L. L. Bello, J. M. López, and O. Mirabella. 2002. Stochastic analysis of periodic real-time systems. In Proc. IEEE Real-Time Systems Symposium (RTSS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  108. J. Diemer and R. Ernst. 2010. Back suction: Service guarantees for latency-sensitive on-chip networks. In Proc. 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip (Proc. NOCS’10). IEEE Computer Society, 155--162. Google ScholarGoogle ScholarDigital LibraryDigital Library
  109. A. C. Dimopoulos, G. Bravos, G. Dimitrakopoulos, M. Nikolaidou, V. Nikolopoulos, and D. Anagnostopoulos. 2016. A multi-core context-aware management architecture for mixed-criticality smart building applications. In Proc. System of Systems Engineering Conference (SoSE). IEEE, 1--6.Google ScholarGoogle Scholar
  110. F. Dorin, P. Richard, M. Richard, and J. Goossens. 2010. Schedulability and sensitivity analysis of multiple criticality tasks with fixed-priorities. Real-Time Systems Journal 46, 3 (2010), 305--331. Google ScholarGoogle ScholarDigital LibraryDigital Library
  111. S. Draskovic, P. Huang, and L. Thiele. 2016. On the safety of mixed-criticality scheduling. In Proc. 4th WMC (RTSS). 6.Google ScholarGoogle Scholar
  112. A. Easwaran. 2013. Demand-based scheduling of mixed-criticality sporadic tasks on one processor. In Proc. IEEE 34th Real-Time Systems Symposium. 78--87. Google ScholarGoogle ScholarDigital LibraryDigital Library
  113. A. Easwaran and I. Shin. 2014. Compositional mixed-criticality scheduling. Proc. CRTS 2014.Google ScholarGoogle Scholar
  114. L. Ecco, S. Tobuschat, S. Saidi, and R. Ernst. 2014. A mixed critical memory controller using bank privatization and fixed priority scheduling. In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA). IEEE, 1--10.Google ScholarGoogle Scholar
  115. S. Edgar and A. Burns. 2001. Statistical analysis of WCET for scheduling. In Proc. 22nd IEEE Real-Time Systems Symposium. Google ScholarGoogle ScholarDigital LibraryDigital Library
  116. P. Ekberg, M. Stigge, N. Guan, and W. Yi. 2013. State-based mode switching with applications to mixed criticality systems. In Proc. WMC, RTSS. 61--66.Google ScholarGoogle Scholar
  117. P. Ekberg and W. Yi. 2012. Bounding and shaping the demand of mixed-criticality sporadic task systems. In ECRTS. 135--144. Google ScholarGoogle ScholarDigital LibraryDigital Library
  118. P. Ekberg and W. Yi. 2014. Bounding and shaping the demand of generalized mixed-criticality sporadic task systems. Journal of Real-Time Systems 50 (2014), 48--86. Google ScholarGoogle ScholarDigital LibraryDigital Library
  119. P. Ekberg and W. Yi. 2015a. A note on some open problems in mixed-criticality scheduling. In Proc. RTOPS, 27th ECRTS. 1--2.Google ScholarGoogle Scholar
  120. P. Ekberg and W. Yi. 2015b. Schedulability analysis of a graph-based task model for mixed-criticality systems. Real-Time Systems (2015), 1--37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  121. B. Engel. 2016. Tightening critical section bounds in mixed-criticality systems through preemptible hardware transactional memory.Google ScholarGoogle Scholar
  122. R. Ernst and M. Di Natale. 2016. Mixed criticality systems? A history of misconceptions? IEEE Design 8 Test 33, 5 (2016), 65--74.Google ScholarGoogle Scholar
  123. A. Esper, G. Neilissen, V. Neils, and E. Tovar. 2015. How realistic is the mixed-criticality real-time system model. In Proc. 23rd International Conference on Real-Time Networks and Systems (RTNS’15). 139--148. Google ScholarGoogle ScholarDigital LibraryDigital Library
  124. C. Evripidou and A. Burns. 2016. Scheduling for mixed-criticality hypervisor systems in the automotive domain. In Proc. 4th WMC (RTSS). 6.Google ScholarGoogle Scholar
  125. G. Farrall, C. Stellwag, J. Diemer, and R. Ernst. 2013. Hardware and software support for mixed-criticality multicore systems. In Proc. Conference on Design, Automation and Test in Europe, WICERT (DATE).Google ScholarGoogle Scholar
  126. T. Fleming, S. K. Baruah, and A. Burns. 2016. Improving the schedulability of mixed criticality cyclic executives via limited task splitting. In Proc. 24th International Conference RTNS. ACM, 277--286. Google ScholarGoogle ScholarDigital LibraryDigital Library
  127. T. Fleming and A. Burns. 2013. Extending mixed criticality scheduling. In Proc. WMC, RTSS. 7--12.Google ScholarGoogle Scholar
  128. T. Fleming and A. Burns. 2014. Incorporating the notion of importance into mixed criticality systems. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 33--38.Google ScholarGoogle Scholar
  129. T. Fleming and A. Burns. 2015. Investigating mixed criticality cyclic executive schedule generation. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 42--47.Google ScholarGoogle Scholar
  130. T. Fleming and A. Burns. 2016. Utilising asymmetric parallelism in multi-core MCS implemented via cyclic executives. In Proc. 4th WMC (RTSS). 6.Google ScholarGoogle Scholar
  131. O. Gettings, S. Quinton, and R. I. Davis. 2015. Mixed criticality systems with weakly-hard constraints. In 23rd International Conference on Real-Time Networks and Systems (RTNS 2015). 237--246. Google ScholarGoogle ScholarDigital LibraryDigital Library
  132. G. Giannopoulou, P. Huang, A. Gomez, and L. Thiele. 2015. Mixed-criticality runtime mechanisms and evaluation on multicore. In Proc. RTAS.Google ScholarGoogle Scholar
  133. Georgia Giannopoulou, Peter Poplavko, Dario Socci, Pengcheng Huang, Nikolay Stoimenov, Paraskevas Bourgos, Lothar Thiele, Marius Bozga, Saddek Bensalem, Sylvain Girbal, and others. 2016. DOL-BIP-Critical: A Tool Chain for Rigorous Design and Implementation of Mixed-criticality Multi-core Systems. Technical Report 363, ETH Zurich, Laboratory TIK.Google ScholarGoogle Scholar
  134. G. Giannopoulou, N. Stoimenov, P. Huang, and L. Thiele. 2013. Scheduling of mixed-criticality applications on resource-sharing multicore systems. In Proc. International Conference on Embedded Software (EMSOFT). Google ScholarGoogle ScholarDigital LibraryDigital Library
  135. G. Giannopoulou, N. Stoimenov, P. Huang, and L. Thiele. 2014. Mapping mixed-criticality applications on multi-core architectures. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE). IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  136. G. Giannopoulou, N. Stoimenov, P. Huang, L. Thiele, and B. D. de Dinechin. 2015. Mixed-criticality scheduling on cluster-based manycores with shared communication and storage resources. Real-Time Systems (2015), 1--51. Google ScholarGoogle ScholarDigital LibraryDigital Library
  137. M. Gomony, J. Garside, B. Akesson, N. Audsley, and K. Goossens. 2016. A globally arbitrated memory tree for mixed-time-criticality systems. IEEE Transactions on Computers (2016). Google ScholarGoogle ScholarDigital LibraryDigital Library
  138. K. Goossens, A. Azevedo, K. Chandrasekar, M. D. Gomony, S. Goossens, M. Koedam, Y. Li, D. Mirzoyan, A. Molnos, A. B. Nejad, A. Nelson, and S. Sinha. 2013b. Virtual execution platforms for mixed-time-criticality systems: The CompSOC architecture and design flow. SIGBED Review 10, 3 (2013), 23--34. Google ScholarGoogle ScholarDigital LibraryDigital Library
  139. S. Goossens, B. Akesson, and K. Goossens. 2013a. Conservative open-page policy for mixed time-criticality memory controllers. In Proc. DATE. 525--530. Google ScholarGoogle ScholarDigital LibraryDigital Library
  140. S. Goossens, J. Kuijsten, B. Akesson, and K. Goossens. 2013c. A reconfigurable real-time SDRAM controller for mixed time-criticality systems. In Proc. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  141. D. Goswami, M. Lukasiewycz, R. Schneider, and S. Chakraborty. 2012. Time-triggered implementations of mixed-criticality automotive software. In Proceedings of the Conference on Design, Automation and Test in Europe (Proc. DATE). 1227--1232. Google ScholarGoogle ScholarDigital LibraryDigital Library
  142. R. Gratia, T. Robert, and L. Pautet. 2014. Adaptation of RUN to mixed-criticality systems. In Proc. 8th Junior Researcher Workshop on Real-Time Computing, RTNS.Google ScholarGoogle Scholar
  143. R. Gratia, T. Robert, and L. Pautet. 2015. Generalized mixed-criticality scheduling based on RUN. In 23rd International Conference on Real-Time Networks and Systems (RTNS’15). 267--276. Google ScholarGoogle ScholarDigital LibraryDigital Library
  144. P. Graydon and I. Bate. 2013. Safety assurance driven problem formulation for mixed-criticality scheduling. In Proc. WMC, RTSS. 19--24.Google ScholarGoogle Scholar
  145. D. Griffin, I. Bate, B. Lesage, and F. Soboczenski. 2015. Evaluating mixed criticality scheduling algorithms with realistic workloads. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 24--29.Google ScholarGoogle Scholar
  146. S. Groesbrink, L. Almeida, M. de Sousa, and S. M. Petters. 2014. Towards certifiable adaptive reservations for hypervisor-based virtualization. In Proc. 20th Real-Time and Embedded Technology and Applications Symposium (RTAS).Google ScholarGoogle Scholar
  147. S. Groesbrink, S. Oberthr, and D. Baldin. 2013. Architecture for adaptive resource assignment to virtualized mixed-criticality real-time systems. In Special Issue on the 4th Workshop on Adaptive and Reconfigurable Embedded Systems (APRES’12), Vol. 10(1). ACM SIGBED Review. Google ScholarGoogle ScholarDigital LibraryDigital Library
  148. K. Grüttner. 2017. Empowering mixed-criticality system engineers in the dark silicon era: Towards power and temperature analysis of heterogeneous MPSoCs at system level. In Model-Implementation Fidelity in Cyber Physical System Design. Springer, 57--90.Google ScholarGoogle Scholar
  149. C. Gu, N. Guan, Q. Deng, and W. Yi. 2014. Partitioned mixed-criticality scheduling on multiprocessor platforms. In Design, Automation and Test in Europe Conference and Exhibition (DATE’14). IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  150. C. Gu, N. Guan, Q. Deng, and W. Yi. 2013. Improving OCBP-based scheduling for mixed-criticality sporadic task systems. In Proc. RTCSA.Google ScholarGoogle Scholar
  151. X. Gu and A. Easwaran. 2014. Optimal speedup bound for 2-level mixed-criticality arbitrary deadline systems. In Proc. RTSOPS (ECRTS). 15--16.Google ScholarGoogle Scholar
  152. X. Gu and A. Easwaran. 2016. Dynamic budget management with service guarantees for mixed-criticality systems. In Proc. Real-Time Systems Symposium (RTSS). IEEE, 47--56.Google ScholarGoogle Scholar
  153. X. Gu, K.-M. Phan, A. Easwaran, and I. Shin. 2015. Resource efficient isolation mechanisms in mixed-criticality scheduling. In Proc. 27th ECRTS. IEEE, 13--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  154. N. Guan, P. Ekberg, M. Stigge, and W. Yi. 2011. Effective and efficient scheduling of certifiable mixed-criticality sporadic task systems. In IEEE RTSS. 13--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  155. Z. Guo. 2016. Mixed-criticality scheduling on varying-speed platforms with bounded performance drop rate. In Proc SMARTCOMP. IEEE, 1--3.Google ScholarGoogle ScholarCross RefCross Ref
  156. Z. Guo and S. Baruah. 2014. Implementing mixed-criticality systems upon a preemptive varying-speed processor. Leibniz Transactions on Embedded Systems 1, 2 (2014), 03--103:19.Google ScholarGoogle Scholar
  157. Z. Guo and S. K. Baruah. 2015. The concurrent consideration of uncertainty in WCETs and processor speeds in mixed criticality systems. In Proc. 23rd International Conference on Real-Time Networks and Systems (RTNS’15). 247--256. Google ScholarGoogle ScholarDigital LibraryDigital Library
  158. Z. Guo, L. Santinelli, and K. Yang. 2015. EDF schedulability analysis on mixed-criticality systems with permitted failure probability. In Proc. RTCSA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  159. H. Hamza, A. Hughes, and R. Kirner. 2015. On the design of a Java virtual machine for mixed-criticality systems. In Proc. JTRES. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  160. J. J. Han, X. Tao, D. Zhu, and H. Aydin. 2016. Criticality-aware partitioning for multicore mixed-criticality systems. In Proc. Parallel Processing (ICPP). IEEE, 227--235.Google ScholarGoogle Scholar
  161. Z. Hanzálek, T. Tunys, and P. Sucha. 2016. An analysis of the non-preemptive mixed-criticality match-up scheduling problem. Journal of Scheduling (2016), 1--7.Google ScholarGoogle Scholar
  162. J. Harbin, T. Fleming, L. S. Indrusiak, and A. Burns. 2015. GMCB: An industrial benchmark for use in real-time mixed-criticality networks-on-chip. In Proc. WATERS, 27th ECRTS.Google ScholarGoogle Scholar
  163. P. Haririan and A. Garcia-Ortiz. 2015. A framework for hardware-based DVFS management in multicore mixed-criticality systems. In Proc. 10th Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC). IEEE, 1--7.Google ScholarGoogle Scholar
  164. M. Hassan and H. Patel. 2016. Criticality-and requirement-aware bus arbitration for multi-core mixed criticality systems. In Proc RTAS. IEEE, 1--11.Google ScholarGoogle Scholar
  165. M. Hassan, H. Patel, and R. Pellizzoni. 2015. A framework for scheduling DRAM memory accesses for multi-core mixed-time critical systems. In Proc. RTAS. IEEE, 307--316.Google ScholarGoogle Scholar
  166. C. Herber, A. Richter, H. Rauchfuss, and A. Herkersdorf. 2013. Spatial and temporal isolation of virtual CAN controllers. In Proc. VtRES, RTCSA.Google ScholarGoogle Scholar
  167. J. Herman, C. Kenna, M. Mollison, J. Anderson, and D. Johnson. 2012. RTOS support for multicore mixed-criticality systems. In Proc. 18th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  168. F. Herrera, S. H. A. Niaki, and I. Sander. 2013. Towards a modelling and design framework for mixed-criticality SoCs and systems-of-systems. In Proc. 16th Euromicro Conference on Digital Systems Design. 989--996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  169. F. Herrera, P. Penil, and E. Villar. 2015. A model-based, single-source approach to design-space exploration and synthesis of mixed-criticality systems. In Proc. SCOPES. 88--91. Google ScholarGoogle ScholarDigital LibraryDigital Library
  170. M. Hikmet, M. M. Kuo, P. S. Roop, and P. Ranjitkar. 2016. Mixed-criticality systems as a service for non-critical tasks. In Proc. ISORC. 221--228.Google ScholarGoogle Scholar
  171. M. G. Hill and T. W. Lake. 2000. Non-interference analysis for mixed criticality code in avionics systems. In Proc. 15th IEEE International Conference on Automated Software Engineering. IEEE Computer Society, 257--260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  172. T. Hollstein, S. P. Azad, T. Kogge, and B. Niazmand. 2015. Mixed-criticality NoC partitioning based on the NoCDepend dependability technique. In Proc. 10th Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC’15). IEEE, 1--8.Google ScholarGoogle Scholar
  173. P. Holman and J. H. Anderson. 2005. Adapting Pfair scheduling for symmetric multiprocessors. Journal of Embedded Computing 1, 4 (2005), 543--564. Google ScholarGoogle ScholarDigital LibraryDigital Library
  174. B. Hu, K. Huang, G. Chen, L. Cheng, and A. Knoll. 2015. Adaptive runtime shaping for mixed-criticality systems. In Proc. 12th International Conference on Embedded Software, EMSOFT. IEEE, 11--20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  175. B. Hu, K. Huang, G. Chen, L. Cheng, and A. Knoll. 2016a. Adaptive workload management in mixed-criticality systems. ACM Transactions on Embedded Computing Systems (TECS) 16, 1 (2016), 14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  176. B. Hu, K. Huang, P. Huang, L. Thiele, and A. Knoll. 2016b. On-the-fly fast overrun budgeting for mixed-criticality systems. In Proc. International Conference on Embedded Software (EMSOFT). IEEE, 1--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  177. H.-M. Huang, C. Gill, and C. Lu. 2012. Implementation and evaluation of mixed criticality scheduling approaches for periodic tasks. In Proc. IEEE Real-Time Technology and Applications Symposium (RTAS). 23--32. Google ScholarGoogle ScholarDigital LibraryDigital Library
  178. H.-M. Huang, C. Gill, and C. Lu. 2014a. Implementation and evaluation of mixed criticality scheduling approaches for sporadic tasks. ACM Transactions on Embedded Systems 13 (2014), 126:1-- 126:25. Google ScholarGoogle ScholarDigital LibraryDigital Library
  179. P. Huang, G. Giannopoulou, R. Ahmed, D. B. Bartolini, and L. Thiele. 2015. An isolation scheduling model for multicores. In Proc. IEEE Real-Time Systems Symposium (RTSS). 141--152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  180. P. Huang, G. Giannopoulou, N. Stoimenov, and L. Thiele. 2013. Service Adaptions for Mixed-Criticality Systems. Technical Report 350. ETH Zurich, Laboratory TIK.Google ScholarGoogle Scholar
  181. P. Huang, G. Giannopoulou, N. Stoimenov, and L. Thiele. 2014. Service adaptions for mixed-criticality systems. In 19th Asia and South Pacific Design Automation Conference (ASP-DAC). Singapore.Google ScholarGoogle Scholar
  182. P. Huang, P. Kumar, G. Giannopoulou, and L. Thiele. 2014b. Energy efficient DVFS scheduling for mixed-criticality systems. In Proc. Embedded Software (EMSOFT). IEEE, 1--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  183. P. Huang, P. Kumar, N. Stoimenov, and L. Thiele. 2013. Interference constraint graph-A new specification for mixed-criticality systems. In Proc. 18th Emerging Technologies and Factory Automation (ETFA). IEEE, 1--8.Google ScholarGoogle Scholar
  184. P. Huang, H. Yang, and L. Thiele. 2014. On the scheduling of fault-tolerant mixed-criticality systems. In Proc. Design Automation Conference (DAC). IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  185. B. Huber, C. El Salloum, and R. Obermaisser. 2008. A resource management framework for mixed-criticality embedded systems. In Proc. 34th IEEE IECON. 2425--2431.Google ScholarGoogle Scholar
  186. L. S. Indrusiak, J. Harbin, and A. Burns. 2015. Average and worst-case latency improvements in mixed-criticality wormhole networks-on-chip. In Proc. 27th ECRTS. IEEE, 47--56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  187. S. Islam, R. Lindstrom, and N. Suri. 2006. Dependability driven integration of mixed criticality SW components. In Proc. 9th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC’06). 11. Google ScholarGoogle ScholarDigital LibraryDigital Library
  188. P. Ittershagen, K. Gruttner, and W. Nebel. 2015. Mixed-criticality system modelling with dynamic execution mode switching. In Proc. 2015 Forum on Specification and Design Languages (FDL). 1--6.Google ScholarGoogle Scholar
  189. V. Izosimov and E. Levholt. 2015. Mixed criticality metric for safety-critical cyber-physical systems on multicore archiectures. MEDIAN: Methods 2, 8 (2015).Google ScholarGoogle Scholar
  190. J. Jalle, E. Quinones, J. Abella, L. Fossati, M. Zulianello, and F. J. Cazorla. 2014. A dual-criticality memory controler (DCmc): Proposal and evaluation of a space case study. In Proc. IEEE Real-Time Systems Symposium. IEEE, 207--217.Google ScholarGoogle Scholar
  191. M. Jan, L. Zaourar, V. Legout, and L. Pautet. 2014. Handling criticality mode change in time-triggered systems through linear programming. Ada User Journal, Proc of Workshop on Mixed Criticality for Industrial Systems (WMCIS’14) 35, 2 (2014), 138--143.Google ScholarGoogle Scholar
  192. M. Jan, L. Zaourar, and M. Pitel. 2013. Maximizing the execution rate of low criticality tasks in mixed criticality system. In Proc. 1st WMC, RTSS. 43--48.Google ScholarGoogle Scholar
  193. X. Jin, J. Wang, and P. Zeng. 2015. End-to-end delay analysis for mixed-criticality WirelessHART networks. IEEE/CAA Journal of Automatica Sinica 2, 3 (2015), 282--289.Google ScholarGoogle ScholarCross RefCross Ref
  194. X. Jin, C. Xia, H. Xu, J. Wang, and P. Zeng. 2016. Mixed criticality scheduling for industrial wireless sensor networks. Sensors 16, 9 (2016), 1376.Google ScholarGoogle ScholarCross RefCross Ref
  195. C. B. Jones. 1983. Tentative steps toward a development method for interfering programs. Transactions on Programming Languages and System 5, 4 (1983), 596--619. Google ScholarGoogle ScholarDigital LibraryDigital Library
  196. M. Joseph and P. Pandya. 1986. Finding response times in a real-time system. BCS Computer Journal 29, 5 (1986), 390--395.Google ScholarGoogle ScholarCross RefCross Ref
  197. R. Kaiser. 2007. The PikeOS Concept History and Design. Technical Report white paper. SYSGO.Google ScholarGoogle Scholar
  198. B. Kalyanasundaram and K. Pruhs. 2000. Speed is as powerful as clairvoyance. Journal of the ACM (JACM) 47, 4 (2000), 617--643. Google ScholarGoogle ScholarDigital LibraryDigital Library
  199. C. Kamienski, M. Jentsch, M. Eisenhauer, J. Kiljander, E. Ferrera, P. Rosengren, J. Thestrup, E. Souto, W. S. Andrade, and D. Sadok. 2016. Application development for the internet of things: A context-aware mixed criticality systems development platform. Computer Communications (2016).Google ScholarGoogle Scholar
  200. O. R. Kelly, H. Aydin, and B. Zhao. 2011. On partitioned scheduling of fixed-priority mixed-criticality task sets. In Proc. IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications. 1051--1059. Google ScholarGoogle ScholarDigital LibraryDigital Library
  201. H. Kim, D. Broman, E. Lee, M. Zimmer, A. Shrivastava, and J. Oh. 2015. A predictable and command-level priority-based DRAM controller for mixed-criticality systems. In Proc. Real-Time and Embedded Technology and Applications Symposium (RTAS). IEEE, 317--326.Google ScholarGoogle Scholar
  202. N. Kim, B. C. Ward, M. Chisholm, C.-Y. Fu, J. H. Anderson, and F. D. Smith. 2016. Attacking the one-out-of-m multicore problem by combining hardware management with mixed-criticality provisioning. In Proc. RTAS). IEEE, 1--12.Google ScholarGoogle Scholar
  203. Young-Seung Kim and Hyun-Wook Jin. 2014. Towards a practical implementation of criticality mode change in RTOS. In Proc. 2014 IEEE Emerging Technology and Factory Automation (ETFA). 1--4.Google ScholarGoogle ScholarCross RefCross Ref
  204. A. Kostrzewa, S. Saidi, and R. Ernst. 2015. Dynamic control for mixed-criticality networks-on-chip. In Proc. IEEE Real-Time Systems Symposium (RTSS). 317--326. Google ScholarGoogle ScholarDigital LibraryDigital Library
  205. O. Kotaba, J. Nowotschy, M. Paulitschy, S. M. Petters, and H. Theiling. 2013. Multicore in real-time systems—Temporal isolation challenges due to shared resources. In Proc. Conference on Design, Automation and Test in Europe, WICERT (DATE).Google ScholarGoogle Scholar
  206. A. Kritikakou, O. Baldellon, C. Pagetti, C. Rochange, M. Roy, and F. Vargas. 2013. Monitoring on-line timing information to support mixed-critical workloads. In Proc. WiP, RTSS. 9--10.Google ScholarGoogle Scholar
  207. A. Kritikakou, C. Pagetti, O. Baldellon, M. Roy, and C. Rochange. 2014a. Run-time control to increase task parallelism in mixed-critical systems. In Proc. ECRTS. 119--128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  208. A. Kritikakou, C. Pagetti, C. Rochange, M. Roy, M. Faugre, S. Girbal, and D. G. Prez. 2014b. Distributed run-time WCET controller for concurrent critical tasks in mixed-critical systems. In Proc. RTNS. Google ScholarGoogle ScholarDigital LibraryDigital Library
  209. N. G. Kumar, S. Vyas, R. K. Cytron, C. D. Gill, J. Zambreno, and P. H. Jones. 2014. Cache design for mixed criticality real-time systems. In Proc. ICCD. IEEE, 513--516.Google ScholarGoogle Scholar
  210. A. Lackorzynski, A. Warg, M. Voelp, and H. Haertig. 2012. Flattening hierarchical scheduling. In Proc. ACM EMSOFT. 93--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  211. K. Lakshmanan, D. de Niz, and R. Rajkumar. 2011. Mixed-criticality task synchronization in zero-slack scheduling. In IEEE RTAS. 47--56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  212. K. Lakshmanan, D. de Niz, R. Rajkumar, and G. Moreno. 2010. Resource allocation in distributed mixed-criticality cyber-physical systems. In Proc. ICDCS. 169--178. Google ScholarGoogle ScholarDigital LibraryDigital Library
  213. A. Larrucea, I. Martinez, V. Brocal, S. Peirò, H. Ahmadian, J. Perez, and R. Obermaisser. 2016. DREAMS: Cross-domain mixed-criticality patterns. In Proc. 4th WMC (RTSS). 6.Google ScholarGoogle Scholar
  214. J. Lee, H. S. Chwa, A. Easwaran, I. Shin, and I. Lee. 2015. Towards compositional mixed-criticality real-time scheduling in open systems. In Proc. 8th Workshop on Compositional Real-Time Systems (CRTS), RTSS, L. Almeida and D. de Niz (Eds.).Google ScholarGoogle Scholar
  215. J. Lee, H. S. Chwa, A. Easwaran, I. Shin, and I. Lee. 2016. Towards compositional mixed-criticality real-time scheduling in open systems: Invited paper. ACM SIGBED Review 13, 3 (2016), 49--51. Google ScholarGoogle ScholarDigital LibraryDigital Library
  216. J. Lee, K.-M. Phan, Z. Gu, J. Lee, A. Easwaran, I. Shin, and I. Lee. 2014. MC-fluid: Fluid model-based mixed-criticality scheduling on multiprocessors. In Proc. IEEE Real-Time Systems Symposium. IEEE, 41--52.Google ScholarGoogle Scholar
  217. V. Legout, M. Jan, and L. Pautet. 2013. Mixed-criticality multiprocessor real-time systems: Energy consumption vs deadline misses. In Proc. ReTiMiCS, RTCSA, L. George and G. Lipari (Eds.). 1--6.Google ScholarGoogle Scholar
  218. J. P. Lehoczky and S. Ramos-Thuel. 1992. An optimal algorithm for scheduling soft-aperiodic tasks fixed-priority preemptive systems. In Proc. 13th IEEE Real-Time Systems Symposium. 110--123.Google ScholarGoogle Scholar
  219. J. P. Lehoczky, L. Sha, and J. K. Strosnider. 1987. Enhanced aperiodic responsiveness in a hard real-time environment. In Proc. 8th IEEE Real-Time Systems Symposium. 261--270.Google ScholarGoogle Scholar
  220. B. Lesage, I. Puaut, and A. Seznec. 2012. PRETI: Partitioned real-time shared cache for mixed-criticality real-time systems. In Proc. 20th RTNS. 171--180. Google ScholarGoogle ScholarDigital LibraryDigital Library
  221. J. Y.-T. Leung and J. Whitehead. 1982. On the complexity of fixed-priority scheduling of periodic real-time tasks. Performance Evaluation (Netherlands) 2, 4 (Dec. 1982), 237--250.Google ScholarGoogle ScholarCross RefCross Ref
  222. H. Li. 2013. Scheduling Mixed-Criticality Real-Time Systems. Ph.D. Dissertation. The University of North Carolina at Chapel Hill. Google ScholarGoogle ScholarDigital LibraryDigital Library
  223. H. Li and S. K. Baruah. 2010a. An algorithm for scheduling certifiable mixed-criticality sporadic task systems. In Proc. Real-Time Systems Symposium. IEEE Computer Society Press, 183--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  224. H. Li and S. K. Baruah. 2010b. Load-based schedulability analysis of certifiable mixed-criticality systems. In Proc. EMSOFT. 99--107. Google ScholarGoogle ScholarDigital LibraryDigital Library
  225. H. Li and S. K. Baruah. 2012. Global mixed-criticality scheduling on multiprocessors. In Proc. ECRTS. IEEE Computer Society Press, 99--107. Google ScholarGoogle ScholarDigital LibraryDigital Library
  226. J. Li, D. Ferry, S. Ahuja, K. Agrawal, C. Gill, and C. Lu. 2016. Mixed-criticality federated scheduling for parallel real-time tasks. In Proc. RTAS. IEEE, 1--12.Google ScholarGoogle Scholar
  227. Y. Li, R. West, and E. Missimer. 2013. The quest-V separation kernel for mixed criticality systems. In Proc. 1st WMC, RTSS. 31--36.Google ScholarGoogle Scholar
  228. Z. Li and L. Wang. 2016. Memory-aware scheduling for mixed-criticality systems. In Proc. ICCSA. Springer, Lecture Notes in Computer Science, Vol. 9787, 140--156.Google ScholarGoogle Scholar
  229. J. Lin, A. M. K. Cheng, D. Steel, and M. Y.-C. Wu. 2014. Scheduling mixed-criticality real-time tasks with fault tolerance. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 39--44.Google ScholarGoogle Scholar
  230. G. Lipari and E. Bini. 2005. A methodology for designing hierarchical scheduling systems. Journal of Embedded Computing 1, 2 (2005), 257--269. Google ScholarGoogle ScholarDigital LibraryDigital Library
  231. G. Lipari and G. Buttazzo. 2013. Resource reservation for mixed criticality systems. In Proc. Workshop on Real-Time Systems: The past, the present, and the future. 60--74.Google ScholarGoogle Scholar
  232. C. L. Liu and J. W. Layland. 1973. Scheduling algorithms for multiprogramming in a hard real-time environment. Journal of the ACM 20, 1 (1973), 46--61. Google ScholarGoogle ScholarDigital LibraryDigital Library
  233. G. Liu, Y. Lu, S. Wang, and Z. Gu. 2014. Partitioned multiprocessor scheduling of mixed-criticality parallel jobs. In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA). IEEE.Google ScholarGoogle Scholar
  234. J. López, J. Díaz, J. Entrialgo, and D. García. 2008. Stochastic analysis of real-time systems under preemptive priority-driven scheduling. Real-Time Systems (2008), 180--207. Google ScholarGoogle ScholarDigital LibraryDigital Library
  235. A. Lyons and G. Heiser. 2014. Mixed-criticality support in a high-assurance, general-purpose microkernel. In Proc. 2nd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 9--14.Google ScholarGoogle Scholar
  236. M. Mahdiani and A. Masrur. 2016. Introducing utilization caps into mixed-criticality scheduling. In Proc. Digital System Design (DSD). IEEE, 388--395.Google ScholarGoogle Scholar
  237. S. O. Marinescu, D. Tamas-Selicean, V. Acretoaie, and P. Pop. 2012. Timing analysis of mixed-criticality hard real-time applications implemented on distributed partitioned architectures. In Proc. 17th IEEE International Conference on Emerging Technologies and Factory Automation.Google ScholarGoogle Scholar
  238. A. Masrur. 2016. A probabilistic scheduling framework for mixed-criticality systems. In Proc. DAC. ACM, 132. Google ScholarGoogle ScholarDigital LibraryDigital Library
  239. A. Masrur, D. Muller, and M. Werner. 2015. Bi-level deadline scaling for admission control in mixed-criticality systems. In Proc. 21st IEEE Embedded and Real-Time Computing Systems and Applications (RTCSA). IEEE, 100--109. Google ScholarGoogle ScholarDigital LibraryDigital Library
  240. S. Maurer and R. Kirner. 2015. Cross-criticality interfaces for cyber-physical systems. In Proc. 2015 International Conference on Event-based Control, Communication, and Signal Processing (EBCCSP). 1--8.Google ScholarGoogle Scholar
  241. D. Maxim and L. Cucu-Grosjean. 2013. Response time analysis for fixed-priority tasks with multiple probabilistic parameters. In Proc. 2013 IEEE 34th Real-Time Systems Symposium (RTSS). IEEE, 224--235. Google ScholarGoogle ScholarDigital LibraryDigital Library
  242. D. Maxim, R. I. Davis, L. Cucu-Grosjean, and A. Easwaran. 2016. Probabilistic analysis for mixed criticality scheduling with SMC and AMC. In Proc. 4th WMC (RTSS). 6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  243. M. Mendez, J. L. G. Rivas, D. F. Garca-Valdecasas, and J. Diaz. 2013. Open platform for mixed-criticality applications. In Proc. Conference on Design, Automation and Test in Europe, WICERT(DATE).Google ScholarGoogle Scholar
  244. E. Missimer, K. Missimer, and R. West. 2016. Mixed-criticality scheduling with I/O. In Proc. ECRTS. 120--130.Google ScholarGoogle Scholar
  245. M. Mollison, J. Erickson, J. Anderson, S. K. Baruah, and J. Scoredos. 2010. Mixed criticality real-time scheduling for multicore systems. In Proc. 7th IEEE International Conference on Embedded Software and Systems. 1864--1871. Google ScholarGoogle ScholarDigital LibraryDigital Library
  246. B. Motruk, J. Diemer, R. Buchty, R. Ernst, and M. Berekovic. 2012. IDAMC: A many-core platform with run-time monitoring for mixed-criticality. Proc. 9th IEEE International Symposium on High-Assurance Systems Engineering (HASE’05), 24--31. Google ScholarGoogle ScholarDigital LibraryDigital Library
  247. D. Muller and A. Masrur. 2014. The scheduling region of two-level mixed-criticality systems based on EDF-VD. In Proc. Conference on Design, Automation and Test in Europe (Proc. DATE). 978--981. Google ScholarGoogle ScholarDigital LibraryDigital Library
  248. K. Napier, O. Horst, and C. Prehofer. 2016. Comparably evaluating communication performance within mixed-criticality systems. In Proc. 4th WMC (RTSS). 6.Google ScholarGoogle Scholar
  249. S. Narayana, P. Huang, G. Giannopoulou, L. Thiele, and R. V. Prasad. 2016. Exploring energy saving for mixed-criticality systems on multi-cores. In Proc. RTAS. IEEE, 1--12.Google ScholarGoogle Scholar
  250. M. Neukirchner, P. Axer, T. Michaels, and R. Ernst. 2013a. Monitoring of workload arrival functions for mixed-criticality systems. In Proc. IEEE 34th Real-Time Systems Symposium. 88--96. Google ScholarGoogle ScholarDigital LibraryDigital Library
  251. M. Neukirchner, S. Quinton, and K. Lampka. 2013b. Multi-mode monitoring for mixed-criticality real-time systems. In Proc. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  252. M. Neukirchner, S. Stein, H. Schrom, J. Schlatow, and R. Ernst. 2011. Contract-based Dynamic Task Management for Mixed-criticality Systems. IEEE, 18--27.Google ScholarGoogle Scholar
  253. R. Nevalainen, U. Kremer, O. Slotosch, D. Truscan, and V. Wong. 2013. Impact of multicore platforms in hardware and software certification. In Proc. Conference on Design, Automation and Test in Europe, WICERT(DATE).Google ScholarGoogle Scholar
  254. L. M. Ni and P. K. McKinley. 1993. A survey of wormhole routing techniques in direct networks. Computer 26, 2 (Feb 1993), 62--76. Google ScholarGoogle ScholarDigital LibraryDigital Library
  255. D. de Niz, K. Lakshmanan, and R. Rajkumar. 2009. On the scheduling of mixed-criticality real-time task sets. In Real-Time Systems Symposium. IEEE Computer Society, 291--300. Google ScholarGoogle ScholarDigital LibraryDigital Library
  256. D. de Niz and L. T. X. Phan. 2014. Partitioned scheduling of multi-modal mixed-criticality real-time systems on multiprocessor platforms. In Proc. Real-Time and Embedded Technology and Applications Symposium (RTAS). 111--122.Google ScholarGoogle ScholarCross RefCross Ref
  257. D. de Niz, L. Wrage, A. Rowe, and R. Rajkumar. 2013. Utility-based resource overbooking for cyber-physical systems. In Proc. RTCSA.Google ScholarGoogle Scholar
  258. A. Novak, P. Sucha, and Z. Hanzalek. 2016a. Efficient algorithm for jitter minimization in time-triggered periodic mixed-criticality message scheduling problem. In Proc. RTNS. ACM, 23--31. Google ScholarGoogle ScholarDigital LibraryDigital Library
  259. A. Novak, P. Sucha, and Z. Hanzalek. 2016b. On solving non-preemptive mixed-criticality match-up scheduling problem with two and three criticality levels. arXiv:1610.07384 (2016).Google ScholarGoogle Scholar
  260. J. Nowotsch, M. Paulitsch, D. Bhler, H. Theiling, S. Wegener, and M. Schmidt. 2014. Multi-core interference-sensitive WCET analysis leveraging runtime resource capacity enforcement. In Proc. ECRTS. 109--118. Google ScholarGoogle ScholarDigital LibraryDigital Library
  261. R. Obermaisser, Z. Owda, M. Abuteir, H. Ahmadian, and D. Wber. 2014. End-to-end real-time communication in mixed-criticality systems based on netowrked multicore chips. In Proc 17th Euromicor Conference on Digital Systems Design. IEEE, 293--302. Google ScholarGoogle ScholarDigital LibraryDigital Library
  262. R. Obermaisser and D. Weber. 2014. Architectures for mixed-criticality systems based on networked multi-core chips. In Proc. ETFA. 1--10.Google ScholarGoogle Scholar
  263. S. Osmolovskiy, I. Fedorov, V. Vinogradov, E. Ivanova, and D. Shakurov. 2016. Mixed-criticality scheduling in real-time multiprocessor systems. In Proc. Conference of Open Innovations Association and Seminar on Information Security and Protection of Information Technology (FRUCT-ISPIT). 257--265.Google ScholarGoogle Scholar
  264. E. Papastefanakis, X. Li, and L. George. 2016. A mixed criticality approach for the security of critical flows in a network-on-chip. ACM SIGBED Review 13, 4 (2016), 67--72. Google ScholarGoogle ScholarDigital LibraryDigital Library
  265. T. Park and S. Kim. 2011. Dynamic scheduling algorithm and its schedulability analysis for certifiable dual-criticality systems. In Proc. ACM EMSOFT. 253--262. Google ScholarGoogle ScholarDigital LibraryDigital Library
  266. R. M. Pathan. 2012. Schedulability analysis of mixed criticality systems on multiprocessors. In Proc. ECRTS. 309--320. Google ScholarGoogle ScholarDigital LibraryDigital Library
  267. R. M. Pathan. 2014. Fault-tolerant and real-time scheduling for mixed-criticality systems. Journal of Real-Time Systems 50, 4 (2014), 509--547. Google ScholarGoogle ScholarDigital LibraryDigital Library
  268. M. Paulitsch, O. M. Duarte, H. Karray, K. Mueller, D. Muench, and J. Nowotsch. 2015. Mixed-criticality embedded systems—A balance ensuring partitioning and performance. In Proc. Euromicro Conference on Digital System Design (DSD). IEEE, 453--461. Google ScholarGoogle ScholarDigital LibraryDigital Library
  269. R. Pellizzoni, P. Meredith, M.-Y. Nam, M. Sun, M. Caccamo, and L. Sha. 2009. Handling mixed-criticality in SoC-based real-time embedded systems. In Proc. 7th ACM International Conference on Embedded Software (EMSOFT). ACM, 235--244. Google ScholarGoogle ScholarDigital LibraryDigital Library
  270. R. Pellizzoni, A. Schranzhofery, J. Cheny, M. Caccamo, and L. Thiele. 2010. Worst case delay analysis for memory interference in multicore systems. In Proc. Design, Automation Test in Europe Conference Exhibition (DATE). 741--746. Google ScholarGoogle ScholarDigital LibraryDigital Library
  271. H. Pérez, J. J. Gutiérrez, S. Peiró, and A. Crespo. 2017. Distributed architecture for developing mixed-criticality systems in multi-core platforms. Journal of Systems and Software 123 (2017), 145--159.Google ScholarGoogle ScholarCross RefCross Ref
  272. J. Perez, D. Gonzalez, S. Trujillo, T. Trapman, and J. M. Garate. 2013. A safety concept for a wind power mixed criticality embedded system based on multicore partitioning. In Proc. 1st WMC, RTSS. 25--30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  273. P. Petrakis, M. Abuteir, M. D. Grammatikakis, K. Papadimitriou, R. Obermaisser, Z. Owda, A. Papagrigoriou, M. Soulie, and M. Coppola. 2016. On-chip networks for mixed-criticality systems. In Proc. Application-specific Systems, Architectures and Processors (ASAP). IEEE, 164--169.Google ScholarGoogle Scholar
  274. P. Pop, L. Tsiopoulos, S. Voss, O. Slotosch, C. Ficek, U. Nyman, and A. Ruiz. 2013. Methods and tools for reducing certification costs of mixed-criticality applications on multi-core platforms: The RECOMP approach. In Proc. Conference on Design, Automation and Test in Europe, WICERT (DATE).Google ScholarGoogle Scholar
  275. T. Pop, P. Eles, and Z. Peng. 2002. Holistic scheduling and analysis of mixed time/event-triggered distributed embedded systems. In Proc. 10th International Symposium on Hardware/Software Codesign (CODES’02). ACM, 187--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  276. S. Punnekkat, R. I. Davis, and A. Burns. 1997. Sensitivity analysis of real-time task sets. In Proc. Conference of Advances in Computing Science - ASIAN’97. Springer, 72--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  277. S. Ramanathan and A. Easwaran. 2015. MC-fluid: Rate assignment strategies. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 6--11.Google ScholarGoogle Scholar
  278. S. Ramanathan, X. Gu, and A. Easwaran. 2016. The feasibility analysis of mixed-criticality systems. In Proc. RTOPS, ECRTS.Google ScholarGoogle Scholar
  279. P. Regnier, G. Lima, E. Massa, G. Levin, and S. Brandt. 2011. RUN: Optimal multiprocessor real-time scheduling via reduction to uniprocessor. In Real-Time Systems Symposium (RTSS). IEEE, 104--115. Google ScholarGoogle ScholarDigital LibraryDigital Library
  280. J. Ren and L. T. X. Phan. 2015. Mixed-criticality scheduling on multiprocessors using task grouping. In Proc. 27th ECRTS. IEEE, 25--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  281. P. Rodriguez, L. George, Y. Abdeddaim, and J. Goossens. 2013. Multi-criteria evaluation of partitioned EDF-VD for mixed criticality systems upon identical processors. In Proc. 1st WMC, RTSS. 49--54.Google ScholarGoogle Scholar
  282. S. Saewong, R. Rajkumar, J. P. Lehoczky, and M. H. Klein. 2002. Analysis of hierarchical fixed-priority scheduling. In Proc. 14th Euromicro Conference on Real-Time Systems (ECRTS). 173--181. Google ScholarGoogle ScholarDigital LibraryDigital Library
  283. S. Saidi, R. Ernst, S. Uhrig, H. Theiling, and B. D. de Dinechin. 2015. The shift to multicores in real-time and safety-critical systems. In Proc. 10th International Conference on Hardware/Software Codesign and System Synthesis. IEEE, 220--229. Google ScholarGoogle ScholarDigital LibraryDigital Library
  284. M. Saksena and Y. Wang. 2000. Scaleable real-time systems design using preemption thresholds. In Proc. 21st IEEE Real-Time Systems Symposium. 25--34. Google ScholarGoogle ScholarDigital LibraryDigital Library
  285. E. Salazar, A. Alejandro, and J. Garrido. 2014. Mixed-criticality design of a satellite software system. In Proc. 19th World Congress The Federation of Automatic Control. 12278--12283.Google ScholarGoogle Scholar
  286. L. Santinelli and L. George. 2015. Probabilities and mixed-criticalities: The probabilistic C-space. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 30--35.Google ScholarGoogle Scholar
  287. R. Santos, S. Venkataraman, A. Das, and A. Kumar. 2014. Criticality-aware scrubbing mechanism for SRAM-based FPGAs. In 2014 24th International Conference on Field Programmable Logic and Applications (FPL). 1--8. 1946-147XGoogle ScholarGoogle Scholar
  288. J. A. Santos-Jr., G. Lima, and K. Bletsas. 2015. Considerations on the least upper bound for mixed-criticality real-time systems. In Proc. 5th Brazilian Symposium on Computing Systems Engineering (SBESC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  289. F. Santy, L. George, P. Thierry, and J. Goossens. 2012. Relaxing mixed-criticality scheduling strictness for task sets scheduled with FP. In Proc. Euromicro Conference on Real-Time Systems. 155--165. Google ScholarGoogle ScholarDigital LibraryDigital Library
  290. F. Santy, G. Raravi, G. Nelissen, V. Nelis, P. Kumar, J. Goossens, and E. Tovar. 2013. Two protocols to reduce the criticality level of multiprocessor mixed-criticality systems. In Proc. RTNS. ACM, 183--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  291. R. Schneider, D. Goswami, A. Masrur, M. Becker, and S. Chakraborty. 2013. Multi-layered scheduling of mixed-criticality cyber-physical systems. Journal of Systems Architecture 59, 10, Part D (2013), 1215 --1230. Google ScholarGoogle ScholarDigital LibraryDigital Library
  292. L. Sha. 2009. Resilient mixed criticality systems. CrossTalk - The Journal of Defense Software Engineering (October 2009), 9--14.Google ScholarGoogle Scholar
  293. L. Sha, J. P. Lehoczky, and R. Rajkumar. 1986. Solutions for some practical problems in prioritizing preemptive scheduling. In Proc. 7th IEEE Real-Time Sytems Symposium.Google ScholarGoogle Scholar
  294. L. Sha, J. P. Lehoczky, and R. Rajkumar. 1987. Task scheduling in distributed real-time systems. In Proc. IEEE Industrial Electronics Conference.Google ScholarGoogle Scholar
  295. L. Sha, R. Rajkumar, and J. P. Lehoczky. 1990. Priority inheritance protocols: An approach to real-time synchronisation. IEEE Transactions on Computers 39, 9 (1990), 1175--1185. Google ScholarGoogle ScholarDigital LibraryDigital Library
  296. Z. Shi and A. Burns. 2008. Real-time communication analysis for on-chip networks with wormhole switching. In Proc. 2nd ACM/IEEE International Symposium on Networks-on-Chip (NoCS). 161--170. Google ScholarGoogle ScholarDigital LibraryDigital Library
  297. Insik Shin and Insup Lee. 2003. Periodic resource model for compositional real-time guarantees. In Proc. 24th IEEE Real-Time Systems Symposium (RTSS’03). 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  298. L. Sigrist, G. Giannopoulou, N. Stoimenov, P. Huang, and L. Thiele. 2014. Mapping mixed-criticality applications on multi-core architectures. In Proc. DATE. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  299. D. Socci, P. Poplavko, S. Bensalem, and M. Bozga. 2013a. Mixed critical earliest deadline first. In Proc. Euromicro Conference on Real-Time Systems (ECRTS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  300. D. Socci, P. Poplavko, S. Bensalem, and M. Bozga. 2013b. Time-triggered mixed critical scheduler. In Proc. WMC, RTSS. 67--72.Google ScholarGoogle Scholar
  301. D. Socci, P. Poplavko, S. Bensalem, and M. Bozga. 2015a. Multiprocessor scheduling of precedence-constrained mixed-critical jobs. In Proc. 2015 IEEE 18th International Symposium on Real-Time Distributed Computing. 198--207. Google ScholarGoogle ScholarDigital LibraryDigital Library
  302. D. Socci, P. Poplavko, S. Bensalem, and M. Bozga. 2015b. Time-Triggered Mixed-Critical Scheduler on Single- and Multi-processor Platforms. Technical Report TR-2015-8. Verimag.Google ScholarGoogle Scholar
  303. B. Sprunt, J. Lehoczky, and L. Sha. 1988. Exploiting unused periodic time for aperiodic service using the extended priority exchange algorithm. In Proc. 9th IEEE Real-Time Systems Symposium. 251--258.Google ScholarGoogle Scholar
  304. W. Steiner. 2011. Synthesis of static communication schedules for mixed-criticality systems. Proc. 2012 IEEE 15th International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 11--18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  305. H. Su, P. Deng, D. Zhu, and Q. Zhu. 2016a. Fixed-priority dual-rate mixed-criticality systems: Schedulability analysis and performance optimization. In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA). IEEE, 59--68.Google ScholarGoogle Scholar
  306. H. Su, P. Deng, D. Zhu, and Q. Zhu. 2016b. Fixed-priority dual-rate mixed-criticality systems: Schedulability analysis and performance optimization. In IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). 59--68.Google ScholarGoogle Scholar
  307. H. Su, N. Guan, and D. Zhu. 2014. Service guarantee exploration for mixed-criticality systems. In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA). IEEE, 1--10.Google ScholarGoogle Scholar
  308. H. Su and D. Zhu. 2013. An elastic mixed-criticality task model and its scheduling algorithm. In Proc. Conference on Design, Automation and Test in Europe (DATE). 147--152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  309. H. Su, D. Zhu, and D. Mosse. 2013. Scheduling algorithms for elastic mixed-criticality tasks in multicore systems. In Proc. RTCSA.Google ScholarGoogle Scholar
  310. D. Tamas-Selicean and P. Pop. 2011a. Design optimisation of mixed criticality real-time applications on cost-constrained partitioned architectures. In Proc. Real-Time Systems Symposium (RTSS). 24--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  311. D. Tamas-Selicean and P. Pop. 2011b. Optimization of time-partitions for mixed criticality real-time distributed embedded systems. In Proc. 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops. 2--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  312. D. Tamas-Selicean and P. Pop. 2011c. Task mapping and partition allocation for mixed criticality real-time systems. In Proc. IEEE Pacific Rim International Symposium on Dependable Computing. 282--283. Google ScholarGoogle ScholarDigital LibraryDigital Library
  313. D. Tamas-Selicean and P. Pop. 2015. Design optimisation of mixed criticality real-time applications on cost-constrained partitioned architectures. ACM Transactions on Embedded Systems 14, 3 (2015), 50:1--50:29. Google ScholarGoogle ScholarDigital LibraryDigital Library
  314. J. Theis and G. Fohler. 2013. Mixed criticality scheduling in time-triggered legacy systems. In Proc. WMC, RTSS. 73--78.Google ScholarGoogle Scholar
  315. J. Theis, G. Fohler, and S. Baruah. 2013. Schedule table generation of time-triggered mixed criticality systems. In Proc. WMC, RTSS. 79--84.Google ScholarGoogle Scholar
  316. A. Thekkilakattil, R. Dobrin, and S. Punnekkat. 2014a. Fault tolerant scheduling of mixed criticality real-time tasks under error bursts. In Proc. International Conference on Information and Communication Technologies (ICICT’14). Elsevier Procedia Computer Science.Google ScholarGoogle Scholar
  317. A. Thekkilakattil, R. Dobrin, and S. Punnekkat. 2014b. Mixed criticality scheduling in fault-tolerant distributed real-time systems. In Proc. 2014 International Conference on Embedded Systems (ICES). IEEE, 92--97.Google ScholarGoogle Scholar
  318. A Thekkilakattl, A. Burns, R. Dobrin, and S. Punnekkat. 2015. Mixed criticality systems: Beyond transient faults. In Proc. 3rd Workshop on Mixed Criticality Systems (WMC), RTSS, L. Cucu-Grosjean and R. Davis (Eds.). 18--23.Google ScholarGoogle Scholar
  319. H. Thompson. 2012. Mixed Criticality Systems. http://cordis.europa.eu/fp7/ict/embedded-systems-engineering/documents/sra-mixed-criticality-systems.pdf. EU, ICT.Google ScholarGoogle Scholar
  320. K. Tindell and A Alonso. 1996. A Very Simple Protocol for Mode Changes in Priority Preemptive Systems. Technical Report. Universidad Politecnica de Madrid.Google ScholarGoogle Scholar
  321. K. Tindell, A. Burns, and A. J. Wellings. 1992. Mode changes in priority preemptive scheduled systems. In Proc. Real Time Systems Symposium. 100--109.Google ScholarGoogle Scholar
  322. S. Tobuschat, P. Axer, R. Ernst, and J. Diemer. 2013. IDAMC: A NoC for mixed criticality systems. In Proc. RTCSA.Google ScholarGoogle Scholar
  323. S. Trujillo, A. Crespo, and A. Alonso. 2013. MultiPARTES: Multicore virtualization for mixed-criticality systems. In Proc. 2013 Euromicro Conference on Digital System Design (DSD). 260--265. Google ScholarGoogle ScholarDigital LibraryDigital Library
  324. S. Trujillo, A. Crespo, A. Alonso, and J. Perez. 2014. MultiPARTES: Multi-core partitioning and virtualization for easing the certification of mixed-criticality systems. Microprocessors and Microsystems (online version). Google ScholarGoogle ScholarDigital LibraryDigital Library
  325. S. Vestal. 2007. Preemptive scheduling of multi-criticality systems with varying degrees of execution time assurance. In Proc. IEEE Real-Time Systems Symposium (RTSS). 239--243. Google ScholarGoogle ScholarDigital LibraryDigital Library
  326. M. Völp, M. Hähnel, and A. Lackorzynski. 2014. Has energy surpassed timeliness? Scheduling energy-constrained mixed-criticality systems. In Proc. RTAS. IEEE, 275--284.Google ScholarGoogle Scholar
  327. M. Volp, A. Lackorzynski, and H. Hartig. 2013. On the expressiveness of fixed priority scheduling contexts for mixed criticality scheduling. In Proc. WMC, RTSS. 13--18.Google ScholarGoogle Scholar
  328. M. Völp, M. Roitzsch, and H. Härtig. 2015. Towards an interpretation of mixed criticality for optimistic scheduling. In Proc. 21st IEEE RTAS, Work-in-Progress. 15--16.Google ScholarGoogle Scholar
  329. G. von der Brüggen, K-H. Chen, W-H. Huang, and J-J. Chen. 2016. Systems with dynamic real-time guarantees in uncertain and faulty execution environments. In Proc. Real-Time Systems Symposium (RTSS). IEEE, 303--314.Google ScholarGoogle ScholarCross RefCross Ref
  330. P. Wagemann, T. Distler, H. Janker, P. Raffeck, and V. Sieh. 2016. A kernel for energy-neutral real-time systems with mixed criticalities. In Proc. RTAS. IEEE, 1--12.Google ScholarGoogle Scholar
  331. Y. Wang and M. Saksena. 1999. Scheduling fixed-priority tasks with preemption threshold. In Proc. 6th Real-Time Computing Systems and Applications (RTCSA). IEEE, 328--335. Google ScholarGoogle ScholarDigital LibraryDigital Library
  332. A. Wasicek, C. El-Salloum, and H. Kopetz. 2010. A system-on-a-chip platform for mixed-criticality applications. In Proc. 3rd IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing (ISORC). 210--216. Google ScholarGoogle ScholarDigital LibraryDigital Library
  333. R. West, Y. Li, E. Missimer, and M. Danish. 2016. A virtualized separation kernel for mixed-criticality systems. ACM Transactions on Computer Systems (TOCS) 34, 3 (2016), 8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  334. H. Xu and A. Burns. 2015. Semi-partitioned model for dual-core mixed criticality system. In Proc. 23rd International Conference on Real-Time Networks and Systems (RTNS’15). 257--266. Google ScholarGoogle ScholarDigital LibraryDigital Library
  335. C. Yao, L. Qiao, L. Zheng, and X. Huagang. 2014. Efficient schedulability analysis for mixed-criticality systems under deadline-based scheduling. Chinese Journal of Aeronautics (2014).Google ScholarGoogle Scholar
  336. E. Yip, M. M. Y. Kuo, D. Broman, and P. S. Roop. 2014. Relaxing the synchronous approach for mixed-criticality systems. In Proc. Real-Time and Embedded Technology and Application Symposium (RTAS). IEEE, 89--100.Google ScholarGoogle Scholar
  337. H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha. 2012. Memory access control in multiproccessor for real-time mixed criticality. In Proc. ECRTS. 299--308. Google ScholarGoogle ScholarDigital LibraryDigital Library
  338. L. Zeng, P. Huang, and L. Thiele. 2016. Towards the design of fault-tolerant mixed-criticality systems on multicores. In Proc. Compilers, Architectures and Synthesis for Embedded Systems. ACM, 6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  339. F. Zhang and A. Burns. 2007. Analysis of hierarchical EDF preemptive scheduling. In Proc. IEEE Real-Time Systems Symposium (RTSS). 423--435. Google ScholarGoogle ScholarDigital LibraryDigital Library
  340. F. Zhang and A. Burns. 2008. Schedulability analysis for real-time systems with EDF scheduling. IEEE Transaction on Computers 58, 9 (2008), 1250--1258. Google ScholarGoogle ScholarDigital LibraryDigital Library
  341. N. Zhang, C. Xu, J. Li, and M. Peng. 2015. A sufficient response-time analysis for mixed criticality systems with pessimistic period. Journal of Computational Information Systems 11, 6 (2015), 1955--1964.Google ScholarGoogle Scholar
  342. X. Zhang, J. Zhan, W. Jiang, Y. Ma, and K. Jiang. 2013. Design optimization of security-sensitive mixed-criticality real-time embedded systems. In Proc. ReTiMiCS, RTCSA, L. George and G. Lipari (Eds.). 12--17.Google ScholarGoogle Scholar
  343. Q. Zhao, Z. Gu, and H. Zeng. 2013a. Integration of resource synchronization and preemption-thresholds into EDF-based mixed-criticality scheduling algorithm. In Proc. RTCSA.Google ScholarGoogle Scholar
  344. Q. Zhao, Z. Gu, and H. Zeng. 2013b. PT-AMC: Integrating preemption thresholds into mixed-criticality scheduling. In Proc. DATE. 141--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  345. Q. Zhao, Z. Gu, and H. Zeng. 2014. HLC-PCP: A resource synchronization protocol for certifiable mixed criticality scheduling. Embedded Systems Letters, IEEE 6, 1 (2014).Google ScholarGoogle ScholarCross RefCross Ref
  346. Q. Zhao, Z. Gu, and H. Zeng. 2015. Resource synchronization and preemption thresholds within mixed-criticality scheduling. ACM Transactions on Embedded Computing Systems (TECS) 14, 4 (2015), 81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  347. L. Ziarek and E. Blanton. 2015. The Fiji MultiVM archiecture. In Proc. JTRES. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  348. M. Zimmer, D. Broman, C. Shaver, and E. A. Lee. 2014. FlexPRET: A processor platform for mixed-criticality systems. In Proc. RTAS. 101--110.Google ScholarGoogle Scholar

Index Terms

  1. A Survey of Research into Mixed Criticality Systems

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Computing Surveys
          ACM Computing Surveys  Volume 50, Issue 6
          November 2018
          752 pages
          ISSN:0360-0300
          EISSN:1557-7341
          DOI:10.1145/3161158
          • Editor:
          • Sartaj Sahni
          Issue’s Table of Contents

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 22 November 2017
          • Accepted: 1 August 2017
          • Revised: 1 June 2017
          • Received: 1 September 2015
          Published in csur Volume 50, Issue 6

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • survey
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader