skip to main content
research-article

Minimizing CPU energy in real-time systems with discrete speed management

Published:24 July 2009Publication History
Skip Abstract Section

Abstract

This article presents a general framework to analyze and design embedded systems minimizing the energy consumption without violating timing requirements. A set of realistic assumptions is considered in the model in order to apply the results in practical real-time applications. The processor is assumed to have as a set of discrete operating modes, each characterized by speed and power consumption. The energy overhead and the transition delay incurred during mode switches are considered. Task computation times are modeled with a part that scales with the speed and a part having a fixed duration, to take I/O operations into account.

The proposed method allows to compute the optimal sequence of voltage/speed changes that approximates the minimum continuous speed, which guarantees the feasibility of a given set of real-time tasks, without violating the deadline constraints. The analysis is performed both under fixed and dynamic priority assignments.

References

  1. AbouGhazaleh, N., Mossé, D., Childers, B., and Melhem, R. 2002. Toward the placement of power management points in real-time applications. In Compilers and Operating Systems for Low-Power. Kluwer Academic Publishers, Norwell, MA, 37--52. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Almeida, L., Pedreiras, P., and Fonseca, J. A. G. 2002. The FTT-CAN protocol: Why and how. IEEE Trans. Ind. Electron. 49, 6, 1189--1201.Google ScholarGoogle ScholarCross RefCross Ref
  3. Aydin, H., Devadas, V., and Zhu, D. 2006. System-level energy management for periodic real-time tasks. In Proceedings of the 27th IEEE International Real-Time Systems Symposium. IEEE, Los Alamitos, 313--322. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Aydin, H., Melhem, R., Mossé, D., and Mejía-Alvarez, P. 2004. Power-aware scheduling for periodic real-time tasks. IEEE Trans. Comput. 53, 5, 584--600. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Baruah, S. K., Howell, R., and Rosier, L. 1990. Algorithms and complexity concerning the preemptive scheduling of periodic, real-time tasks on one processor. Real-Time Syst. 2, 301--324. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Bini, E. 2004. The design domain of real-time system. Ph.D. Thesis, Scuola Superiore Sant'Anna, Pisa, Italy. http://retis.sssup.it/~bini/thesis/Google ScholarGoogle Scholar
  7. Bini, E., Buttazzo, G., and Lipari, G. 2005. Speed modulation in energy-aware real-time systems. In Proceedings of the 17th Euromicro Conference on Real-Time Systems. IEEE, Los Alamitos, CA, 3--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Buttazzo, G. C. 2004. Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications 2nd Ed. Springer-Verlag, Berlin, Germany. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Chandrakasan, A. P. and Brodersen, R. W. 1995. Low-Power Digital CMOS Design. Kluwer Academic Publishers, Norwell, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Feng, X. and Mok, A. K. 2002. A model of hierarchical real-time virtual resources. In Proceedings of the 23rd IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 26--35. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Gresser, K. 1993. An event model for deadline verification of hard real-time systems. In Proceedings of the 5th Euromicro Workshop on Real-Time Systems. IEEE, Los Alamitos, CA, 118--123.Google ScholarGoogle ScholarCross RefCross Ref
  12. Hong, I., Qu, G., Potkonjak, M., and Srivastava, M. B. 1998. Synthesis techniques for low-power hard real-time systems on variable voltage processors. In Proceedings of the 19th IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 178--187. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Irani, S., Shukla, S., and Gupta, R. 2003. Algorithms for power savings. In Proceedings of the 14th Annual ACM-SIAM Symposium on Discrete Algorithms. ACM, New York, 37--46. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Ishihara, T. and Yasuura, H. 1998. Voltage scheduling problem for dynamically variable voltage processors. In Proceedings of the International Symposium on Low-Power Electronics and Design. ACM, New York, 197--202. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Jejurikar, R. and Gupta, R. 2004. Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. In Proceedings of the International Symposium on Low-Power Electronics and Design. ACM, New York, 78--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Lee, S. and Sakurai, T. 2000. Run-time voltage hopping for low-power real-time systems. In Proceedings of the 37th Design Automation Conference. IEEE, Los Alamitos, CA, 806--809. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Lehoczky, J. P., Sha, L., and Ding, Y. 1989. The rate-monotonic scheduling algorithm: Exact characterization and average case behavior. In Proceedings of the 10th IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 166--171.Google ScholarGoogle Scholar
  18. Lipari, G. and Bini, E. 2003. Resource partitioning among real-time applications. In Proceedings of the 15th Euromicro Conference on Real-Time Systems. IEEE, Los Alamitos, CA, 151--158.Google ScholarGoogle Scholar
  19. Liu, C. L. and Layland, J. W. 1973. Scheduling algorithms for multi-programming in a hard real-time environment. J. Assoc. Comput. Machin. 20, 1, 46--61. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Liu, Y. and Mok, A. K. 2003. An integrated approach for applying dynamic voltage scaling to hard real-time systems. In Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium. IEEE, Los Alamitos, CA, 116--123. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Mochocki, B., Hu, X. S., and Quan, G. 2002. A realistic variable voltage scheduling model for real-time applications. In Proceedings of the International Conference on Computer-Aided Design. 726--731. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Pillai, P. and Shin, K. G. 2001. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of the 18th ACM Symposium on Operating System Principles. ACM, New York, 89--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Qadi, A., Goddard, S., and Farritor, S. 2003. A dynamic voltage scaling algorithm for sporadic tasks. In Proceedings of the 24th Real-Time Systems Symposium. 52--62. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Quan, G., Niu, L., Hu, X. S., and Mochocki, B. 2004. Fixed priority-based real-time scheduling for reducing energy on variable voltage processors. In Proceedings of the 25th IEEE Real-Time Systems Symposium. 309--318. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Rabaey, J. M., Chandrakasan, A., and Nikolic, B. 2002. Digital Integrated Circuits 2nd Ed. Prentice Hall. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Richter, K. and Ernst, R. 2002. Event model interfaces for heterogeneous system analysis. In Proceedings of the Design, Automation and Test in Europe. 506--513. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Ripoll, I., Crespo, A., and Mok, A. K. 1996. Improvement in feasibility testing for real-time tasks. Real-Time Syst. 11, 1, 19--39. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Saewong, S. and Rajkumar, R. 2003. Practical voltage-scaling for fixed-priority RT-systems. In Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium. IEEE, Los Alamitos, CA, 106--114. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Scordino, C. and Lipari, G. 2006. A resource reservation algorithm for power-aware scheduling of periodic and aperiodic real-time tasks. IEEE Trans. Comput. 55, 12, 1509--1522. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Seth, K., Anantaraman, A., Mueller, F., and Rotenberg, E. 2003. FAST: Frequency-aware static timing analysis. In Proceedings of the 24th IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 40--51. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Shin, I. and Lee, I. 2003. Periodic resource model for compositional real-time guarantees. In Proceedings of the 24th Real-Time Systems Symposium. 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Tal, J. and Person, E. K. 1978. Pulsewidth modulated amplifier for dc servo system. DC motor and control systems. http://icat.snu.ac.kr:3333/ww/pdf/ww_1993_30.pdf.Google ScholarGoogle Scholar
  33. Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced CPU energy. In Proceedings of the 36th Annual Symposium on Foundations of Computer Science. 374--382. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Zhuo, J. and Chakrabarti, C. 2005. System-level energy-efficient dynamic task scheduling. In Proceedings of the 42nd on Design Automation Conference. IEEE, Los Alamitos, CA, 628--631. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Minimizing CPU energy in real-time systems with discrete speed management

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image ACM Transactions on Embedded Computing Systems
              ACM Transactions on Embedded Computing Systems  Volume 8, Issue 4
              July 2009
              208 pages
              ISSN:1539-9087
              EISSN:1558-3465
              DOI:10.1145/1550987
              Issue’s Table of Contents

              Copyright © 2009 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 24 July 2009
              • Accepted: 1 November 2008
              • Revised: 1 July 2008
              • Received: 1 February 2008
              Published in tecs Volume 8, Issue 4

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article
              • Research
              • Refereed

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader