skip to main content
10.1145/1999946.1999974acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
research-article

Complex network inspired fault-tolerant NoC architectures with wireless links

Published:01 May 2011Publication History

ABSTRACT

The Network-on-Chip (NoC) paradigm has emerged as a scalable interconnection infrastructure for modern multi-core chips. However, with growing levels of integration, the traditional NoCs suffer from high latency and energy dissipation in on-chip data transfer due to conventional metal/dielectric based interconnects. Three-dimensional integration, on-chip photonic, RF and wireless links have been proposed as radical low-power and low-latency alternatives to the conventional planar wire-based designs. Wireless NoCs with Carbon Nanotube (CNT) antennas are shown to outperform traditional wire based NoCs by several orders of magnitude in power dissipation and latency. However such transformative technologies will be prone to high levels of faults and failures due to various issues related to manufacturing and integration. On the other hand, several naturally occurring complex networks such as colonies of microbes and the internet are known to be inherently fault-tolerant against high rates of failures and harsh environments. This paper proposes to adopt such complex network based architectures to minimize the effect of wireless link failures on the performance of the NoC. Through cycle accurate simulations it is shown that the wireless NoC architectures inspired by natural complex networks perform better than their conventional wired counterparts even in the presence of a high degree of faults.

References

  1. L. Benini and G. D. Micheli, "Networks on Chips: A New SoC Paradigm," IEEE Computer, Vol. 35, Issue 1, January 2002, pp. 70--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. U. Ogras and R. Marculescu, "It's a Small World After All: NoC Performance Optimization Via Long-Range Link Insertion", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, No. 7, July 2006, pp. 693--706. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. V. F. Pavlidis and E. G. Friedman, "3-D Topologies for Networks-on-Chip," IEEE Transactions on Very Large Scale Integration (VLSI), Vol. 15, Issue 10, October 2007, pp. 1081--1090. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Shacham et al., "Photonic Network-on-Chip for Future Generations of Chip Multi-Processors," IEEE Transactions on Computers, Vol. 57, no. 9, 2008, pp. 1246--1260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. F. Chang et al., "CMP Network-on-Chip Overlaid With MultiBand RF-Interconnect," Proc. of IEEE International Symposium on High-Performance Computer Architecture (HPCA), 16--20 February, 2008, pp. 191--202.Google ScholarGoogle Scholar
  6. R. Albert, et al., "Error and Attack Tolerance of Complex Networks", Nature, Vol. 406, July 2000, pp. 378--382.Google ScholarGoogle ScholarCross RefCross Ref
  7. T. Peterman and P. D. L. Rios, "Spatial small-world networks: a wiring cost perspective", e-print arXiv:cond-mat/0501420.Google ScholarGoogle Scholar
  8. D. J. Watts and S. H. Strogatz, "Collective dynamics of 'small-world' networks," Nature 393, pp. 440--442, 1998.Google ScholarGoogle ScholarCross RefCross Ref
  9. A. Kumar et al., "Toward Ideal On-Chip Communication Using Express Virtual Channels," IEEE Micro, Vol. 28, Issue 1, January-February 2008, pp. 80--90 Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. Zhao and Y. Wang, "SD-MAC: Design and Synthesis of A Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip," IEEE Transactions on Computers, vol. 57, no. 9, September 2008, pp. 1230--1245. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. B. Lee et al., "A Scalable Micro Wireless Interconnect Structure for CMPs", Proceedings of ACM Annual International Conference on Mobile Computing and Networking (MobiCom), 20--25 September, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Kempa, et al., "Carbon Nanotubes as Optical Antennae," Advanced Materials, vol. 19, 2007, pp. 421--426.Google ScholarGoogle ScholarCross RefCross Ref
  13. A. Ganguly, et al., "Scalable Hybrid Wireless Network-on-Chip Architectures for Multi-Core Systems", IEEE Transactions on Computers (TC), August, 2010, DOI: http://www.computer.org/portal/web/csdl/doi/10.1109/TC.2010.176. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. Bogdan and Radu Marculescu, "Quantum-Like Effects in Network-on-Chip Buffers Behavior," Proc. of IEEE Design Automation Conference, DAC, 4--8 June, 2007, pp. 266--267. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Lin et al., "Communication Using Antennas Fabricated in Silicon Integrated Circuits," IEEE Journal of Solid-State Circuits, vol. 42, no. 8, August 2007, pp. 1678--1687.Google ScholarGoogle ScholarCross RefCross Ref
  16. G. W. Hanson, "On the Applicability of the Surface Impedance Integral Equation for Optical and Near Infrared Copper Dipole Antennas," IEEE Transactions on Antennas and Propagation, vol. 54, no. 12, December 2006, pp. 3677--3685.Google ScholarGoogle ScholarCross RefCross Ref
  17. P. J. Burke et al., "Quantitative Theory of Nanowire and Nanotube Antenna Performance," IEEE Transactions on Nanotechnology, Vol. 5, No. 4, July 2006, pp. 314--334. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Y. Huang et al., "Performance Prediction of Carbon Nanotube Bundle Dipole Antennas," IEEE Transactions on Nanotechnology, Vol. 7, No. 3, May 2008, pp. 331--337. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. T. S. Marinis, et. al., "Wafer level vacuum packaging of MEMS sensors," Proc. of Electronic Components and Technology Conference, 2005. 31 May-3 June 2005, Vol. 2, pp. 1081--1088.Google ScholarGoogle Scholar
  20. Y. Zhou et al., "Design and Fabrication of Microheaters for Localized Carbon Nanotube Growth, Proc. of IEEE conference on Nanotechnology, 2008, pp. 452--455.Google ScholarGoogle Scholar
  21. B. G. Lee et al., "Ultrahigh-Bandwidth Silicon Photonic Nanowire Waveguides for On-Chip Networks," IEEE Photonics Technology Letters, vol. 20, no. 6, Mar. 2008, pp. 398--400.Google ScholarGoogle ScholarCross RefCross Ref
  22. W. M. J. Green et. al., "Ultra-compact, low RF power, 10Gb/s silicon Mach-Zehnder modulator," Optics Express, Vol. 15, No. 25, pp. 17106--17113.Google ScholarGoogle Scholar
  23. A. Ismail and A. Abidi, "A 3 to 10GHz LNA Using a Wideband LC-ladder Matching Network," Proc. of IEEE International Solid-State Circuits Conference, 15--19 February, 2004, pp. 384--534.Google ScholarGoogle Scholar

Index Terms

  1. Complex network inspired fault-tolerant NoC architectures with wireless links

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        NOCS '11: Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
        May 2011
        282 pages
        ISBN:9781450307208
        DOI:10.1145/1999946

        Copyright © 2011 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 May 2011

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate14of44submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader