skip to main content
10.1145/2463209.2488875acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems

Published:29 May 2013Publication History

ABSTRACT

Dynamic voltage and frequency scaling (DVFS) offers great potential for optimizing the energy efficiency of Multiprocessor Systems-on-Chip (MPSoCs). The conventional approaches for processor voltage and frequency adjustment are not suitable for streaming multimedia applications due to the cyclic nature of dependencies in the executing tasks which can potentially violate the throughput constraints. In this paper, we propose a methodology that applies DVFS for such cyclic dependent tasks. The methodology involves an off-line analysis that assumes worst-case execution times of tasks to identify the executions that can be slowed down and an on-line analysis to utilize the slacks arising from tasks that finish their execution before the worst-case execution times. Thus, the methodology minimizes energy consumption during both off-line and on-line analysis while satisfying the throughput constraints. Experiments based on models of real-life streaming multimedia applications show that the proposed methodology reduces the overall energy consumption by 43% when compared to existing approaches.

References

  1. Marvell, StrongARM 1100 processor, 1997. http://www.marvell.com/.Google ScholarGoogle Scholar
  2. Intel XScale 80200 Processor, 2001. http://www.intel.com/.Google ScholarGoogle Scholar
  3. Transmeta, Transmeta Crusoe Processor, 2001. http://www.transmeta.com/.Google ScholarGoogle Scholar
  4. ARM1176 Processor, 2004. http://www.arm.com/.Google ScholarGoogle Scholar
  5. A. Alimonda et al. A feedback-based approach to dvfs in data-flow applications. IEEE TCAD, pages 1691--1704, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Aydin et al. Power-aware scheduling for periodic real-time tasks. IEEE Trans. on Comput., 53(5):584--600, may 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. D. Burd and R. W. Brodersen. Energy efficient cmos microprocessor design. In IEEE HICSS, pages 288--297, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J.-J. Chen et al. Slack reclamation for real-time task scheduling over dynamic voltage scaling multiprocessors. In IEEE SUTC, pages 358--367, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J.-J. Chen and T.-W. Kuo. Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems. In IEEE ICCAD, pages 289--294, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. P. Choudhury et al. Online dynamic voltage scaling using task graph mapping analysis for multiprocessors. In IEEE VLSID, pages 89--94, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Cong and K. Gururaj. Energy efficient multiprocessor task scheduling under input-dependent variation. In DATE, pages 411--416, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. D. Culler et al. Parallel computer architecture: a hardware/software approach. Morgan Kaufmann Pub, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. H. Ghamarian et al. Throughput Analysis of Synchronous Data Flow Graphs. In IEEE ACSD, pages 25--36, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. F. Gruian. System-level design methods for low-energy architectures containing variable voltage processors. In Springer PACS, pages 1--12, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Hu and R. Marculescu. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints. In DATE, pages 234--239, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. R. Jejurikar and R. Gupta. Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. In DAC, pages 111--116, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. A. Kahle et al. Introduction to the cell multiprocessor. IBM J. Res. Dev., 49:589--604, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. P. Langen and B. Juurlink. Leakage-aware multiprocessor scheduling. J. Signal Process. Syst., 57:73--88, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. E. A. Lee and D. G. Messerschmitt. Static scheduling of synchronous data flow programs for digital signal processing. IEEE Trans. Comput., 36:24--35, 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. Lee et al. An intra-task dynamic voltage scaling method for soc design with hierarchical fsm and synchronous dataflow model. In ISLPED, pages 84--87, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K. Li. Performance analysis of power-aware task scheduling algorithms on multiprocessor computers with dynamic voltage and speed. IEEE TPDS, 19(11):1484--1497, nov. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. Luo and N. K. Jha. Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems. In IEEE ASP-DAC, pages 719--726, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. P. Malani et al. Adaptive scheduling and voltage scaling for multiprocessor real-time applications with non-deterministic workload. In DATE, pages 652--657, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. A. Nelson et al. Power minimisation for real-time dataflow applications. In IEEE DSD, pages 117--124, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. D. Shin and J. Kim. Power-aware scheduling of conditional task graphs in real-time multiprocessor systems. In ISLPED, pages 408--413, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. D. Shin and J. Kim. Dynamic voltage scaling of periodic and aperiodic tasks in priority-driven systems. In IEEE ASP-DAC, pages 653--658, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. D. Shin and J. Kim. Optimizing intratask voltage scheduling using profile and data-flow information. IEEE TCAD, 26(2):369--385, feb. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. A. K. Singh et al. A Hybrid Strategy for Mapping Multiple Throughput-constrained Applications on MPSoCs. In ACM CASES, pages 175--184, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. D. C. Snowdon et al. Power management and dynamic voltage scaling: Myths and facts. In PARC, New Jersey, USA, 2005.Google ScholarGoogle Scholar
  30. S. Stuijk et al. SDF3: SDF For Free. In IEEE ACSD, pages 276--278, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. W. Wang et al. Energy-aware dynamic slack allocation for real-time multitasking systems. Sust. Comp.: Infor. and Sys., 2:128--137, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  32. F. Yao et al. A scheduling model for reduced cpu energy. In IEEE FOCS, pages 374--, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. S. Zhang et al. Approximation algorithms for power minimization of earliest deadline first and rate monotonic schedules. In ISLPED, pages 225--230, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. X. Zhong and C.-Z. Xu. System-wide energy minimization for real-time tasks: Lower bound and approximation. ACM Trans. Embed. Comput. Syst., 7:28:1--28:24, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. D. Zhu et al. Scheduling with dynamic voltage/speed adjustment using slack reclamation in multiprocessor real-time systems. IEEE TPDS, 14:686--700, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '13: Proceedings of the 50th Annual Design Automation Conference
        May 2013
        1285 pages
        ISBN:9781450320719
        DOI:10.1145/2463209

        Copyright © 2013 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 29 May 2013

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader