skip to main content
10.1145/1176254.1176327acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

System-level power-performance trade-offs in bus matrix communication architecture synthesis

Published:22 October 2006Publication History

ABSTRACT

System-on-chip communication architectures have a significant impact on the performance and power consumption of modern multi-processor system-on-chips (MPSoCs). However, customization of such architectures for an application requires the exploration of a large design space. Thus designers need tools to rapidly explore and evaluate relevant communication architecture configurations exhibiting diverse power and performance characteristics. In this paper we present an automated framework for fast system-level, application-specific, power-performance trade-offs in bus matrix communication architecture synthesis. Our paper makes two specific contributions. First, we develop energy macro-models for system-level exploration of bus matrix communication architectures. Second, we incorporate these macro-models into a bus matrix synthesis flow that enables designers to efficiently explore the power-performance design space of different bus matrix configurations. Experimental results show that our energy macro-models incur less than 5% average absolute error compared to gate-level models. Furthermore, our bus matrix synthesis framework generates a tradeoff space with designs that exhibits an approximately 20% variation in power and 40% variation in performance on an industrial networking MPSoC application, demonstrating the utility of our approach.

References

  1. R. Ho, K. W. Mai, M. A. Horowitz, "The Future of Wires", Proc. IEEE, vol. 89, April 2001Google ScholarGoogle Scholar
  2. K. Lahiri, A. Raghunathan, "Power Analysis of system-level on-chip communication architectures", CODES+ISSS 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. ARM AMBA Specification and Multi layer AHB Specification, (rev2.0), http://www.arm.com, 2001Google ScholarGoogle Scholar
  4. "IBM On-chip CoreConnect Bus Architecture", www.chips.ibm.com/products/coreconnect/index.htmlGoogle ScholarGoogle Scholar
  5. S. Pasricha, N. Dutt, M. Ben-Romdhane, "Constraint-Driven Bus Matrix Synthesis for MPSoC", ASPDAC 2006 Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. AMBA AHB Interconnection Matrix, www.synopsys.com/products/designware/amba_solutions.htmlGoogle ScholarGoogle Scholar
  7. L. Benini, G. D. Micheli, "Networks on Chips: A New SoC Paradigm", IEEE Computers, Jan. 2002 Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. F. Angiolini et al., "Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness", DATE 2006 Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Caldari et al. "System-level power analysis methodology applied to the AMBA AHB bus", DATE 2003 Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Gasteier, M. Glesner, "Bus-based communication synthesis on system level", ACM TODAES, January 1999 Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Berkeley Predictive Technology Model, U.C. Berkeley, http://www-devices.eecs.berkeley.edu/~ptm/Google ScholarGoogle Scholar
  12. S. Pasricha, N. Dutt, E. Bozorgzadeh, M. Ben-Romdhane, "Floorplan-aware Automated Synthesis of Bus-based Communication Architectures", DAC 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Pinto, L. P. Carloni, A. L. Sangiovanni-Vincentelli, "Efficient Synthesis of Networks On Chip," ICCD 2003 Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Cadence PKS, www.cadence.com/datasheets/pks_ds.pdfGoogle ScholarGoogle Scholar
  15. J. Cong, D. Z. Pan, "Interconnect Performance Estimation Models for Design Planning", IEEE TCAD, June 2001Google ScholarGoogle Scholar
  16. N. D. Liveris, P. Banerjee, "Power aware interface synthesis for bus-based SoC designs", DATE 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. U. Ogras, R. Marculescu, "Energy and Performance-Driven NoC Communication Architecture Synthesis using a Decomposition Approach", DATE 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Guo et al., "Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture", SLIP 2006 Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. H-S. Wang et al., "Orion: a power-performance simulator for interconnection networks", MICRO 2002 Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. N. Adya, I. L. Markov, "Fixed-outline Floorplanning: Enabling Hierarchical Design", IEEE TVLSI, Dec. 2003 Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. J. Chan et al., "NoCEE: energy macro-model extraction methodology for network on chip routers", ICCAD 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. A. Bona et al., "System level power modeling and simulation of high-end industrial network-on-chip", DATE 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. GNU R, http://www.gnu.org/software/r/R.htmlGoogle ScholarGoogle Scholar
  24. S. Pasricha, Y. Park, F. Kurdahi, N. Dutt, "CAPPS: A Framework for Power-Performance Trade-Offs in On-Chip Communication Architecture Synthesis", CECS Technical Report, Nov 2006 SystemC initiative, www.systemc.orgGoogle ScholarGoogle Scholar
  25. S. Pasricha, N. Dutt, M. Ben-Romdhane, "Fast Exploration of Bus-based On-chip Communication Architectures", CODES+ISSS 2004 Synopsys CoreTools, PrimePower www.synopsys.com Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. System-level power-performance trade-offs in bus matrix communication architecture synthesis

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            CODES+ISSS '06: Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
            October 2006
            328 pages
            ISBN:1595933700
            DOI:10.1145/1176254

            Copyright © 2006 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 22 October 2006

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            Overall Acceptance Rate280of864submissions,32%

            Upcoming Conference

            ESWEEK '24
            Twentieth Embedded Systems Week
            September 29 - October 4, 2024
            Raleigh , NC , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader