skip to main content
research-article

PROTON+: A Placement and Routing Tool for 3D Optical Networks-on-Chip with a Single Optical Layer

Published:18 December 2015Publication History
Skip Abstract Section

Abstract

Optical Networks-on-Chip (ONoCs) are a promising technology to overcome the bottleneck of low bandwidth of electronic Networks-on-Chip. Recent research discusses power and performance benefits of ONoCs based on their system-level design, while layout effects are typically overlooked. As a consequence, laser power requirements are inaccurately computed from the logic scheme but do not consider the layout. In this article, we propose PROTON+, a fast tool for placement and routing of 3D ONoCs minimizing the total laser power. Using our tool, the required laser power of the system can be decreased by up to 94% compared to a state-of-the-art manually designed layout. In addition, with the help of our tool, we study the physical design space of ONoC topologies. For this purpose, topology synthesis methods (e.g., global connectivity and network partitioning) as well as different objective function weights are analyzed in order to minimize the maximum insertion loss and ultimately the system’s laser power consumption. For the first time, we study optimal positions of memory controllers. A comparison of our algorithm to a state-of-the-art placer for electronic circuits shows the need for a different set of tools custom-tailored for the particular requirements of optical interconnects.

References

  1. S. Bahirat and S. Pasricha. 2014. 3D HELIX: Design and synthesis of hybrid nanophotonic application-specific 3D network-on-chip architectures. In Proceedings of the Workshop on Exploiting Silicon Photonics for Energy Efficient Heterogeneous Parallel Architectures (SiPhotonics).Google ScholarGoogle Scholar
  2. S. Beamer, C. Sun, Y.-J. Kwon, A. Joshi, C. Batten, V. Stojanović, and K. Asanović. 2010. Re-architecting DRAM memory systems with monolithically integrated silicon photonics. SIGARCH Computer Architecture News 38, 3, 129--140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. A. Biberman, K. Preston, G. Hendry, N. Sherwood-Droz, J. Chan, J. S. Levy, M. Lipson, and K. Bergman. 2011a. Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors. Journal on Emerging Technologies in Computing Systems (JETC) 7, 2, Article 7, 25 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Biberman, N. Sherwood-Droz, X. Zhu, M. Lipson, and K. Bergman. 2011b. High-speed data transmission in multi-layer deposited silicon photonics for advanced photonic networks-on-chip. In Proceedings of the Conference on Lasers and Electro-Optics (CLEO). 1--2.Google ScholarGoogle Scholar
  5. A. Boos, L. Ramini, U. Schlichtmann, and D. Bertozzi. 2013. PROTON: An automatic place-and-route tool for optical networks-on-chip. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 138--145. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Chan, G. Hendry, A. Biberman, and K. Bergman. 2010. Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. Journal of Lightwave Technology 28, 9, 1305--1315.Google ScholarGoogle ScholarCross RefCross Ref
  7. M. Cianchetti, J. Kerekes, and D. Albonesi. 2009. Phastlane: A rapid transit optical routing network. SIGARCH Computer Architecture News 37, 3, 441--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Condrat, P. Kalla, and S. Blair. 2011. Logic synthesis for integrated optics. In Proceedings of the Great Lakes Symposium on VLSI. ACM, New York, NY, 13--18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. C. Condrat, P. Kalla, and S. Blair. 2014. Crossing-aware channel routing for integrated optics. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33, 6, 814--825.Google ScholarGoogle ScholarCross RefCross Ref
  10. D. Ding, Y. Zhang, H. Huang, R. T. Chen, and D. Z. Pan. 2009. O-router: An optical routing framework for low power on-chip silicon nano-photonic integration. In Proceedings of the Design Automation Conference (DAC). 264--269. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Dong, W. Qian, S. Liao, H. Liang, C.-C. Kung, N.-N. Feng, R. Shafiiha, J. Fong, D. Feng, A. V. Krishnamoorthy, and M. Asghari. 2010. Low loss silicon waveguides for application of optical interconnects. In Proceedings of the 2010 IEEE Photonics Society Summer Topical Meeting Series. 191--192.Google ScholarGoogle Scholar
  12. V. Donzella, S. Fard, and L. Chrostowski. 2013. Study of waveguide crosstalk in silicon photonics integrated circuits. Proceedings of SPIE 8915, Photonics North. 89150Z.Google ScholarGoogle Scholar
  13. H. Gu, J. Xu, and W. Zhang. 2009. A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In Design, Automation Test in Europe Conference Exhibition (DATE). 3--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. O. Hammami and K. Hamwi. 2013. MHYNESYS II: Multi-stage hybrid network on chip synthesis for next generation 3D IC manycore. In Proceedings of the International Symposium on Circuits and Systems (ISCAS). 325--328.Google ScholarGoogle Scholar
  15. M. Heck and J. Bowers. 2014. Energy efficient and energy proportional optical interconnects for multi-core processors: Driving the need for on-chip sources. Journal of Selected Topics in Quantum Electronics 20, 4, 332--343.Google ScholarGoogle ScholarCross RefCross Ref
  16. R. Ho, K. W. Mai, and M. A. Horowitz. 2001. The future of wires. Proceedings of IEEE 89, 4, 490--504.Google ScholarGoogle ScholarCross RefCross Ref
  17. S. Koohi, M. Abdollahi, and S. Hessabi. 2011. All-optical wavelength-routed NoC based on a novel hierarchical topology. In Proceedings of the International Symposium on Networks on Chip (NoCS). 97--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C. Lee. 1961. An algorithm for path connections and its applications. Transactions on Electronic Computers EC-10, 3, 346--365.Google ScholarGoogle ScholarCross RefCross Ref
  19. Y. Liu, J. Shainline, X. Zeng, and M. Popović. 2014. Ultra-low-loss CMOS-compatible waveguide crossing arrays based on multimode bloch waves and imaginary coupling. Optics Letters 39, 2, 335--338.Google ScholarGoogle ScholarCross RefCross Ref
  20. J. Minz, S. Thyagara, and S. Lim. 2007. Optical routing for 3-D system-on-package. IEEE Transactions on Components and Packaging Technologies 30, 4, 805--812.Google ScholarGoogle ScholarCross RefCross Ref
  21. N. Ophir and K. Bergman. 2013. Analysis of high-bandwidth low-power microring links for off-chip interconnects. Proceedings of SPIE 8628. 86280N--86280N--7.Google ScholarGoogle Scholar
  22. M. Ortín-Obón, L. Ramini, V. Viñals, and D. Bertozzi. 2014. Capturing the sensitivity of optical network quality metrics to its network interface parameters. Concurrency and Computation: Practice and Experience 26, 15, 2504--2517.Google ScholarGoogle ScholarCross RefCross Ref
  23. A. Parini, G. Calò, G. Bellanca, and V. Petruzzelli. 2014. Vertical link solutions for multilayer optical-networks-on-chip topologies. Optical and Quantum Electronics 46, 3, 385--396.Google ScholarGoogle ScholarCross RefCross Ref
  24. W. Press, S. Teukolsky, W. Vetterling, and B. Flannery. 2007. Numerical Recipes: The Art of Scientific Computing (3rd ed.). Cambridge University Press, New York, NY. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. L. Ramini, D. Bertozzi, and L. P. Carloni. 2012. Engineering a bandwidth-scalable optical layer for a 3D multi-core processor with awareness of layout constraints. In Proceedings of the International Symposium on Networks on Chip (NoCS). 185--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. L. Ramini, M. Tala, and D. Bertozzi. 2014. Exploring communication protocols for optical networks-on-chip based on ring topologies. In Proceedings of the Asia Communications and Photonics Conference 2014, ATh3A.165.Google ScholarGoogle Scholar
  27. A. Scandurra. 2008. Scalable CMOS-compatible photonic routing topologies for versatile networks on chip. In Network on Chip Architecture.Google ScholarGoogle Scholar
  28. C.-S. Seo and A. Chatterjee. 2002. A CAD tool for system-on-chip placement and routing with free-space optical interconnect. In Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors. 24--29. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. C.-S. Seo, A. Chatterjee, and N. M. Jokerst. 2005. Physical design of optoelectronic system-on-a-package: A CAD tool and algorithms. In Proceedings of the International Symposium on Quality of Electronic Design (ISQED). 567--572.Google ScholarGoogle Scholar
  30. A. Shacham, K. Bergman, and L. P. Carloni. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Transactions on Computers 57, 9, 1246--1260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. N. Sherwood-Droz, H. Wang, L. Chen, B. Lee, A. Biberman, K. Bergman, and M. Lipson. 2008. Optical 4x4 hitless silicon router for optical networks-on-chip (NoC). Optics Express 16, 20, 15915--15922.Google ScholarGoogle ScholarCross RefCross Ref
  32. X. Tan, M. Yang, L. Zhang, Y. Jiang, and J. Yang. 2011. On a scalable, non-blocking optical router for photonic networks-on-chip designs. In Symposium on Photonics and Optoelectronics. 1--4.Google ScholarGoogle Scholar
  33. A. Udipi, N. Muralimanohar, R. Balasubramonian, A. Davis, and N. Jouppi. 2011. Combining memory and a controller with photonics through 3D-stacking to enable scalable and energy-efficient systems. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA’11). ACM, New York, NY, 425--436. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the International Symposium on Computer Architecture. 153--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. A. Wächter and L. Biegler. 2006. On the implementation of an interior-point filter line-search algorithm for large-scale nonlinear programming. Mathematical Programming 106, 1, 25--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina, C.-C. Miao, J. Brown III, and A. Agarwal. 2007. On-chip interconnection architecture of the tile processor. IEEE Micro 27, 5, 15--31. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. PROTON+: A Placement and Routing Tool for 3D Optical Networks-on-Chip with a Single Optical Layer

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 12, Issue 4
      Regular Papers
      July 2016
      394 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2856147
      • Editor:
      • Yuan Xie
      Issue’s Table of Contents

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 18 December 2015
      • Revised: 1 September 2015
      • Accepted: 1 September 2015
      • Received: 1 March 2015
      Published in jetc Volume 12, Issue 4

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader