skip to main content
10.1145/1283780.1283790acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Analysis of dynamic voltage/frequency scaling in chip-multiprocessors

Published:27 August 2007Publication History

ABSTRACT

Fine-grained dynamic voltage/frequency scaling (DVFS) demonstrates great promise for improving the energy-efficiency of chip-multiprocessors (CMPs), which have emerged as a popular way for designers to exploit growing transistor budgets. We examine the tradeoffs involved in the choice of both DVFS control scheme and method by which the processor is partitioned into voltage/frequency islands (VFIs). We simulate real multithreaded commercial and scientific workloads, demonstrating the large real-world potential of DVFS for CMPs. Contrary to the conventional wisdom, we find that the benefits of per-core DVFS are not necessarily large enough to overcome the complexity of having many independent VFIs per chip.

References

  1. L. A. Barroso, K. Gharachorloo, R. McNamara, A. Nowatzyk, S. Qadeer, B. Sano, S. Smith, R. Stets, and B. Verghese. Piranha: a scalable architecture based on single-chip multiprocessing. In ISCA '00: Proceedings of the 27th International Symposium on Computer Architecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In ISCA '00: Proceedings of the 27th International Symposium on Computer Architecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. A. Butts and G. S. Sohi. A static power model for architects. In MICRO 33: Proceedings of the 33rd Annual IEEE/ACM international symposium on Microarchitecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Chelcea and S. Nowick. Robust interfaces for mixed systems with application to latency-insensitive protocols. In DAC '01: Proceedings of the 38th annual Design Automation Conference, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Dorsey, S. Searles, M. Ciraula, E. Fang, S. Johnson, N. Bujanos, R. Kumar, D. Wu, M. Braganza, and S. Meyers. An integrated quad-core Opteron processor. In ISSCC '07: IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  6. C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In MICRO '06: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. Juang, Q. Wu, L.-S. Peh, M. Martonosi, and D. W. Clark. Coordinated, distributed, formal energy management of chip multiprocessors. In ISLPED '05: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Li and J. F. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In HPCA '06: Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006.Google ScholarGoogle Scholar
  9. G. Magklis, P. Chaparro, J. Gonzalez, and A. Gonzalez. Independent front-end and back-end dynamic voltage scaling for a gals microarchitecture. In ISLPED '06: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Shao, A. Ailamaki, and B. Falsafi. DBmbench: fast and accurate database workload representation on modern microarchitecture. In CASCON '05: Proceedings of the 2005 conference of the Centre for Advanced Studies on Collaborative Research, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In ISCA '03: Proceedings of the 30th International Symposium on Computer Architecture, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. E. Talpes and D. Marculescu. Toward a multiple clock/voltage island design style for power-aware processors. IEEE Trans. Very Large Scale Integr. Syst., 13(5), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. F. Wenisch, R. E. Wunderlich, B. Falsafi, and J. C. Hoe. Simulation sampling with live-points. In ISPASS '06: Proceedings of the 2006 International Symposium on Performance Analysis of Systems and Software, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  14. T. F. Wenisch, R. E. Wunderlich, M. Ferdman, A. Ailamaki, B. Falsafi, and J. C. Hoe. Simflex: Statistical sampling of computer system simulation. IEEE Micro, 26(4), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Q. Wu, P. Juang, M. Martonosi, and D. W. Clark. Formal online methods for voltage/frequency control in multiple clock domain microprocessors. In ASPLOS-XI: Proceedings of the 11th international conference on Architectural Support for Programming Languages and Operating Systems, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '07: Proceedings of the 2007 international symposium on Low power electronics and design
      August 2007
      432 pages
      ISBN:9781595937094
      DOI:10.1145/1283780

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 27 August 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader