ABSTRACT
Fine-grained dynamic voltage/frequency scaling (DVFS) demonstrates great promise for improving the energy-efficiency of chip-multiprocessors (CMPs), which have emerged as a popular way for designers to exploit growing transistor budgets. We examine the tradeoffs involved in the choice of both DVFS control scheme and method by which the processor is partitioned into voltage/frequency islands (VFIs). We simulate real multithreaded commercial and scientific workloads, demonstrating the large real-world potential of DVFS for CMPs. Contrary to the conventional wisdom, we find that the benefits of per-core DVFS are not necessarily large enough to overcome the complexity of having many independent VFIs per chip.
- L. A. Barroso, K. Gharachorloo, R. McNamara, A. Nowatzyk, S. Qadeer, B. Sano, S. Smith, R. Stets, and B. Verghese. Piranha: a scalable architecture based on single-chip multiprocessing. In ISCA '00: Proceedings of the 27th International Symposium on Computer Architecture, 2000. Google ScholarDigital Library
- D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In ISCA '00: Proceedings of the 27th International Symposium on Computer Architecture, 2000. Google ScholarDigital Library
- J. A. Butts and G. S. Sohi. A static power model for architects. In MICRO 33: Proceedings of the 33rd Annual IEEE/ACM international symposium on Microarchitecture, 2000. Google ScholarDigital Library
- T. Chelcea and S. Nowick. Robust interfaces for mixed systems with application to latency-insensitive protocols. In DAC '01: Proceedings of the 38th annual Design Automation Conference, 2001. Google ScholarDigital Library
- J. Dorsey, S. Searles, M. Ciraula, E. Fang, S. Johnson, N. Bujanos, R. Kumar, D. Wu, M. Braganza, and S. Meyers. An integrated quad-core Opteron processor. In ISSCC '07: IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2007.Google ScholarCross Ref
- C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In MICRO '06: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006. Google ScholarDigital Library
- P. Juang, Q. Wu, L.-S. Peh, M. Martonosi, and D. W. Clark. Coordinated, distributed, formal energy management of chip multiprocessors. In ISLPED '05: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005. Google ScholarDigital Library
- J. Li and J. F. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In HPCA '06: Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006.Google Scholar
- G. Magklis, P. Chaparro, J. Gonzalez, and A. Gonzalez. Independent front-end and back-end dynamic voltage scaling for a gals microarchitecture. In ISLPED '06: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006. Google ScholarDigital Library
- M. Shao, A. Ailamaki, and B. Falsafi. DBmbench: fast and accurate database workload representation on modern microarchitecture. In CASCON '05: Proceedings of the 2005 conference of the Centre for Advanced Studies on Collaborative Research, 2005. Google ScholarDigital Library
- K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In ISCA '03: Proceedings of the 30th International Symposium on Computer Architecture, 2003. Google ScholarDigital Library
- E. Talpes and D. Marculescu. Toward a multiple clock/voltage island design style for power-aware processors. IEEE Trans. Very Large Scale Integr. Syst., 13(5), 2005. Google ScholarDigital Library
- T. F. Wenisch, R. E. Wunderlich, B. Falsafi, and J. C. Hoe. Simulation sampling with live-points. In ISPASS '06: Proceedings of the 2006 International Symposium on Performance Analysis of Systems and Software, 2006.Google ScholarCross Ref
- T. F. Wenisch, R. E. Wunderlich, M. Ferdman, A. Ailamaki, B. Falsafi, and J. C. Hoe. Simflex: Statistical sampling of computer system simulation. IEEE Micro, 26(4), 2006. Google ScholarDigital Library
- Q. Wu, P. Juang, M. Martonosi, and D. W. Clark. Formal online methods for voltage/frequency control in multiple clock domain microprocessors. In ASPLOS-XI: Proceedings of the 11th international conference on Architectural Support for Programming Languages and Operating Systems, 2004. Google ScholarDigital Library
Index Terms
- Analysis of dynamic voltage/frequency scaling in chip-multiprocessors
Recommendations
Exploiting process variability in voltage/frequency control
Fine-grained dynamic voltage/frequency scaling (DVFS) is an important tool in managing the balance between power and performance in chip-multiprocessors. Although manufacturing process variations are giving rise to significant core-to-core variations in ...
The limit of dynamic voltage scaling and insomniac dynamic voltage scaling
Dynamic voltage scaling (DVS) is a popular approach for energy reduction of integrated circuits. Current processors that use DVS typically have an operating voltage range from full to half of the maximum Vdd. However, there is no fundamental reason why ...
Reliability-Aware Dynamic Voltage and Frequency Scaling
ISVLSI '10: Proceedings of the 2010 IEEE Annual Symposium on VLSIDynamic voltage and frequency scaling (DVFS) is an effective method for controlling energy dissipation of embedded systems. However, recent researches have illustrated that DVFS techniques have compromising effects on the system reliability. Our ...
Comments