Skip to main content
Top

2017 | OriginalPaper | Chapter

A 10T Subthreshold SRAM Cell with Minimal Bitline Switching for Ultra-Low Power Applications

Authors : Swaati, Bishnu Prasad Das

Published in: VLSI Design and Test

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

High noise margins and low power dissipation are the major attributes of the SRAM cells used in ultra-low power applications. This paper proposes a 10T Static Random-Access memory (SRAM) with data aware dynamic feedback control and disturb free read which enhances the noise margins in the sub-threshold region. Exploiting the dynamic threshold MOS transistors (DTMOS) technique reduces the read access time of the proposed memory cell. As this cell offers single ended write operation with the bitlines kept at logic HIGH which leads to large saving in dynamic power due to charging/discharging operation on bitlines. Therefore, proposed SRAM reduces the activity factor of discharging the bitlines for each write pattern. The simulation has been carried out in 65 nm technology node to show the comparison among the existing techniques and proposed cell. The proposed memory cell has write static noise margin (WSNM) of 1.7x and 1.48x compared to iso-area 6T and Schmitt Trigger based (ST2) SRAM cells respectively at supply voltage of 300 mV. Read operation is data controlled which improves the read margin. Dynamic threshold technique increases read current for faster read operation. Read SNM is 2x, 1.16x and 1.4x of iso-area 6T, differential data aware 9T and Schmitt trigger SRAM (ST2) respectively. These features enable the cell for ultralow power applications.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Rabaey, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective, 2nd edn. Prentice-Hall, New Delhi (2005) Rabaey, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective, 2nd edn. Prentice-Hall, New Delhi (2005)
2.
go back to reference Karandikar, A., Parhi, K.K.: Low power SRAM design using hierarchical divided bit-line approach. In: Proceedings of International Conference Computer Design, pp. 82–88 (1998) Karandikar, A., Parhi, K.K.: Low power SRAM design using hierarchical divided bit-line approach. In: Proceedings of International Conference Computer Design, pp. 82–88 (1998)
3.
go back to reference Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J. Solid-State Circuits of 43(10), 2338–2348 (2008)CrossRef Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J. Solid-State Circuits of 43(10), 2338–2348 (2008)CrossRef
4.
go back to reference Pilo, H., Barwin, J., Braceras, G., Browning, C., Burns, S., Gabric, J., Lamphier, S., Miller, M., Roberts, A., Towler, F.: An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage. In: Symposium on VLSI Circuits, Digest of Technical Papers, pp. 15–16, June 2006 Pilo, H., Barwin, J., Braceras, G., Browning, C., Burns, S., Gabric, J., Lamphier, S., Miller, M., Roberts, A., Towler, F.: An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage. In: Symposium on VLSI Circuits, Digest of Technical Papers, pp. 15–16, June 2006
5.
go back to reference Bhavnagarwala, A., Kosonocky, S., Radens, C., Stawiasz, K., Mann, R., Ye, Q., Chin, K.: Fluctuation limits and scaling opportunities for CMOS SRAM cells. In: International Electron Devices Meeting (IEDM) Digest, pp. 659–662, December 2005 Bhavnagarwala, A., Kosonocky, S., Radens, C., Stawiasz, K., Mann, R., Ye, Q., Chin, K.: Fluctuation limits and scaling opportunities for CMOS SRAM cells. In: International Electron Devices Meeting (IEDM) Digest, pp. 659–662, December 2005
6.
go back to reference Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., Akamatsu, H.: A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE J. Solid-State Circuits 43(9), 2109–2119 (2008)CrossRef Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., Akamatsu, H.: A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE J. Solid-State Circuits 43(9), 2109–2119 (2008)CrossRef
7.
go back to reference Hirabayashi, O., Kawasumi, A., Suzuki, A., Takeyama, Y., Kushida, K., Sasaki, T., Katayama, A., Fukano, G., Fujimura, Y., Nakazato, T., Shizuki, Y., Kushiyama, N., Yabe, T.: A process-variation-tolerant dual-power-supply SRAM with 0.179 m cell in 40 nm CMOS using level-programmable wordline driver. In: IEEE Int. Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, pp. 458–459, February 2009 Hirabayashi, O., Kawasumi, A., Suzuki, A., Takeyama, Y., Kushida, K., Sasaki, T., Katayama, A., Fukano, G., Fujimura, Y., Nakazato, T., Shizuki, Y., Kushiyama, N., Yabe, T.: A process-variation-tolerant dual-power-supply SRAM with 0.179 m cell in 40 nm CMOS using level-programmable wordline driver. In: IEEE Int. Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, pp. 458–459, February 2009
8.
go back to reference Zhang, K., Bhattacharya, U., Chen, Z., Hamzaoglu, F., Murray, D., Vallepalli, N., Wang, Y., Zheng, B., Bohr, M.: A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE J. Solid-State Circuits 41(1), 146–151 (2006)CrossRef Zhang, K., Bhattacharya, U., Chen, Z., Hamzaoglu, F., Murray, D., Vallepalli, N., Wang, Y., Zheng, B., Bohr, M.: A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE J. Solid-State Circuits 41(1), 146–151 (2006)CrossRef
9.
go back to reference Bai, N., Wu, X., Yang, J., Shi, L.: A robust high density 7T SRAM bitcell for subthreshold applications. Chin. J. Electron. 20(2), 243–246 (2011) Bai, N., Wu, X., Yang, J., Shi, L.: A robust high density 7T SRAM bitcell for subthreshold applications. Chin. J. Electron. 20(2), 243–246 (2011)
10.
go back to reference Singh, J., Mathew, J., Pradhan, D.K., Mohanty, S.P.: A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. In: 2008 IEEE International SOC Conference, 17–20 September 2008 Singh, J., Mathew, J., Pradhan, D.K., Mohanty, S.P.: A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. In: 2008 IEEE International SOC Conference, 17–20 September 2008
11.
go back to reference Chang, M.-F., Wu, J.-J., Chen, K.-T., Chen, Y.-C., Chen, Y.-H., Lee, R., Liao, H.-J., Yamauchi, H.: A differential data-aware power-supplied (D-AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications. IEEE J. Solid-State Circuits 45(6), 1234–1245 (2010)CrossRef Chang, M.-F., Wu, J.-J., Chen, K.-T., Chen, Y.-C., Chen, Y.-H., Lee, R., Liao, H.-J., Yamauchi, H.: A differential data-aware power-supplied (D-AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications. IEEE J. Solid-State Circuits 45(6), 1234–1245 (2010)CrossRef
12.
go back to reference Yoshimoto, M.: A 64 kb CMOS RAM with divided word line structure. In: Technical Digest IEEE International Solid-State Circuits Conference, pp. 58–59 (1983) Yoshimoto, M.: A 64 kb CMOS RAM with divided word line structure. In: Technical Digest IEEE International Solid-State Circuits Conference, pp. 58–59 (1983)
13.
go back to reference Yang, B., Kim, L.: A low-power SRAM using hierarchical bit line and local sense amplifiers. IEEE J. Solid-State Circuits 40(6), 1366–1376 (2005)MathSciNetCrossRef Yang, B., Kim, L.: A low-power SRAM using hierarchical bit line and local sense amplifiers. IEEE J. Solid-State Circuits 40(6), 1366–1376 (2005)MathSciNetCrossRef
14.
go back to reference Hwang, M.-E., Roy, K.: A 135 mV 0.13-μW process tolerant 6T subthreshold DTMOS SRAM in 90 nm technology. In: Proceedings IEEE Custom Integrated Circuits Conference, September 2008, pp. 419–422 (2008) Hwang, M.-E., Roy, K.: A 135 mV 0.13-μW process tolerant 6T subthreshold DTMOS SRAM in 90 nm technology. In: Proceedings IEEE Custom Integrated Circuits Conference, September 2008, pp. 419–422 (2008)
15.
go back to reference Chang, M.F., Chang, S.W., Chou, P.W., Wu, W.C.: A 130 mV SRAM with expanded write and read margins for subthreshold applications. IEEE J. Solid-State Circuits 46(2), 520–529 (2011)CrossRef Chang, M.F., Chang, S.W., Chou, P.W., Wu, W.C.: A 130 mV SRAM with expanded write and read margins for subthreshold applications. IEEE J. Solid-State Circuits 46(2), 520–529 (2011)CrossRef
16.
go back to reference Kulkarni, J.P., Roy, K.: Ultralow-voltage process-variation-tolerant Schmitt-trigger-based SRAM design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(2), 319–332 (2012)CrossRef Kulkarni, J.P., Roy, K.: Ultralow-voltage process-variation-tolerant Schmitt-trigger-based SRAM design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(2), 319–332 (2012)CrossRef
17.
go back to reference Hassanzadeh, S., Zamani, M., Hajsadeghi, K.: A 32 kb 90 nm 10T-cell sub-threshold SRAM with improved read and write SNM. In: Proceedings of 21st Iranian Conference Electrical Engineering (ICEE), May 2013, pp. 1–5 (2013) Hassanzadeh, S., Zamani, M., Hajsadeghi, K.: A 32 kb 90 nm 10T-cell sub-threshold SRAM with improved read and write SNM. In: Proceedings of 21st Iranian Conference Electrical Engineering (ICEE), May 2013, pp. 1–5 (2013)
Metadata
Title
A 10T Subthreshold SRAM Cell with Minimal Bitline Switching for Ultra-Low Power Applications
Authors
Swaati
Bishnu Prasad Das
Copyright Year
2017
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7470-7_48