Skip to main content
Top

2019 | OriginalPaper | Chapter

A 12.5-Gb/s Equalizer with CTLE and a 4-Tap Quarter-Rate DFE in 40 nm Technology

Authors : Qing Xu, Jianjun Chen, Yueyue Chen, Bin Liang, Bo Xiong, Yuan Luo, Jizuo Zhang

Published in: Computer Engineering and Technology

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

On account of finite channel bandwidth and reflection, receiver cannot receive data accurately resulting from ISI. To satisfy the transmission requirements of PCIE3.1 and Rapid IO3.2, this paper presents a 12.5 Gb/s equalizer based on 40 nm CMOS. It uses Continuous-Time Linear Equalizer (CTLE) and a quarter-baud-rate decision feedback equalizer (DFE) with 4 taps. Finally, the receiver can effectively balance data and restore eye diagram with a channel loss of 28 dB at 12.5 Gb/s. The layout area of equalizer is 0.66 mm2, and its consumption is 33.08 mW from a 1.1-V supply.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Kim, J., et al.: A 112Gb/s PAM-4 transmitter with 3-Tap FFE in 10nm CMOS. In: ISSCC, pp. 102–104, February 2018 Kim, J., et al.: A 112Gb/s PAM-4 transmitter with 3-Tap FFE in 10nm CMOS. In: ISSCC, pp. 102–104, February 2018
2.
go back to reference Han, J., et al.: A 60Gb/s 288mW NRZ transceiver with adaptive equalization and baud-rate clock and data recovery in 65nm CMOS technology. In: ISSCC, pp. 112–113, February 2017 Han, J., et al.: A 60Gb/s 288mW NRZ transceiver with adaptive equalization and baud-rate clock and data recovery in 65nm CMOS technology. In: ISSCC, pp. 112–113, February 2017
3.
go back to reference Peng, P.-J., et al.: A 56Gb/s PAM-4/NRZ transceiver in 40nm CMOS. In: ISSCC, pp. 110–111, February 2017 Peng, P.-J., et al.: A 56Gb/s PAM-4/NRZ transceiver in 40nm CMOS. In: ISSCC, pp. 110–111, February 2017
4.
go back to reference Rahman, W., et al.: A 22.5-to-32Gb/s 3.2pJ/b referenceless baud-rate digital CDR with DFE and CTLE in 28nm CMOS. In: ISSCC, pp. 120–121, February 2017 Rahman, W., et al.: A 22.5-to-32Gb/s 3.2pJ/b referenceless baud-rate digital CDR with DFE and CTLE in 28nm CMOS. In: ISSCC, pp. 120–121, February 2017
5.
go back to reference Menolfi, C., et al.: A 112Gb/s 2.6pJ/b 8-Tap FFE PAM-4 SST TX in 14nm CMOS. In: ISSCC, pp. 104–106, February 2018 Menolfi, C., et al.: A 112Gb/s 2.6pJ/b 8-Tap FFE PAM-4 SST TX in 14nm CMOS. In: ISSCC, pp. 104–106, February 2018
6.
go back to reference Matsui, M., et al.: A 200 MHz 13 mm 2-D DCT macrocell using sense-amplifying pipeline flip-flop scheme. IEEE J. Solid State Circ. 29(12), 1482–1490 (1994)CrossRef Matsui, M., et al.: A 200 MHz 13 mm 2-D DCT macrocell using sense-amplifying pipeline flip-flop scheme. IEEE J. Solid State Circ. 29(12), 1482–1490 (1994)CrossRef
7.
go back to reference Nikolic, B., Oklobdzija, V.G., Stojanovic, V., Jia, W., Chiu, J.K., Leung, M.M.: Improved sense-amplifier-based flip-flop: design and measurements. IEEE J. Solid State Circ. 35(6), 876–884 (2000)CrossRef Nikolic, B., Oklobdzija, V.G., Stojanovic, V., Jia, W., Chiu, J.K., Leung, M.M.: Improved sense-amplifier-based flip-flop: design and measurements. IEEE J. Solid State Circ. 35(6), 876–884 (2000)CrossRef
8.
go back to reference Payne, R., et al.: A 6.25-Gb/s binary transceiver in 0.13um CMOS for serial data transmission across high loss legacy backplane channels. IEEE JSSC 40(12), 2646–2657 (2005) Payne, R., et al.: A 6.25-Gb/s binary transceiver in 0.13um CMOS for serial data transmission across high loss legacy backplane channels. IEEE JSSC 40(12), 2646–2657 (2005)
9.
go back to reference Gondi, S., Razavi, B.: Equalization and clock and data recovery techniques for 10-Gb/s CMOS serial-link receivers. IEEE JSSC 42(9), 1999–2011 (2007) Gondi, S., Razavi, B.: Equalization and clock and data recovery techniques for 10-Gb/s CMOS serial-link receivers. IEEE JSSC 42(9), 1999–2011 (2007)
10.
go back to reference Momtaz, A., Green, M.M.: An 80 mW 40 Gb/s 7-Tap T/2-spaced feed-forward equalizer in 65 nm CMOS. IEEE JSSC 45(3), 629–639 (2010) Momtaz, A., Green, M.M.: An 80 mW 40 Gb/s 7-Tap T/2-spaced feed-forward equalizer in 65 nm CMOS. IEEE JSSC 45(3), 629–639 (2010)
Metadata
Title
A 12.5-Gb/s Equalizer with CTLE and a 4-Tap Quarter-Rate DFE in 40 nm Technology
Authors
Qing Xu
Jianjun Chen
Yueyue Chen
Bin Liang
Bo Xiong
Yuan Luo
Jizuo Zhang
Copyright Year
2019
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-13-5919-4_10