Skip to main content
Top

2017 | OriginalPaper | Chapter

6. A Composable and Predictable MPSoC Design Flow for Multiple Real-Time Applications

Authors : Seyed-Hosein Attarzadeh-Niaki, Ekrem Altinel, Martijn Koedam, Anca Molnos, Ingo Sander, Kees Goossens

Published in: Model-Implementation Fidelity in Cyber Physical System Design

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Design of real-time MPSoC systems including multiple applications is challenging because temporal requirements of each application must be respected throughout the entire design flow. Currently the design of different applications is often interdependent, making converge to a solution for each application difficult. This chapter proposes a compositional method to design applications independently, and then to execute them without interference. We define a formal modeling framework as a suitable entry point for application design. The models are executable, which enables early detection of specification errors, and include the formal properties of the applications based on well-defined models of computation. We combine this with a predictable MPSoC platform template that has a supporting design flow but lacks a simulation front-end. The structure and behavior of the application models are exported to an intermediate format via introspection which is iteratively transformed for the backend flow. We identify the problems arising in this transformation and provide appropriate solutions. The design flow is demonstrated by a system consisting of two streaming applications where less than half of the design time is dedicated to operating on the integrated system model.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
Experiments are run on a 64 bit Linux machine with a Core i7 CPU running at 3.07 GHz with 24 Gb of memory.
 
Literature
1.
go back to reference B. Akesson, A. Molnos, A. Hansson, J. Angelo, K. Goossens, Composability and predictability for independent application development,verification, and execution, in Multiprocessor System-on-Chip: Hardware Design and Tool Integration, ed. by M. Hübner, J. Becker (Springer, New York, 2011), pp. 25–56CrossRef B. Akesson, A. Molnos, A. Hansson, J. Angelo, K. Goossens, Composability and predictability for independent application development,verification, and execution, in Multiprocessor System-on-Chip: Hardware Design and Tool Integration, ed. by M. Hübner, J. Becker (Springer, New York, 2011), pp. 25–56CrossRef
2.
go back to reference M.A. Bamakhrama, J.T. Zhai, H. Nikolov, T. Stefanov, A methodology for automated design of hard-real-time embedded streaming systems, in Proceedings of the Conference on Design, Automation and Test in Europe. DATE ’12 (EDA Consortium, San Jose, 2012), pp. 941–946 M.A. Bamakhrama, J.T. Zhai, H. Nikolov, T. Stefanov, A methodology for automated design of hard-real-time embedded streaming systems, in Proceedings of the Conference on Design, Automation and Test in Europe. DATE ’12 (EDA Consortium, San Jose, 2012), pp. 941–946
3.
go back to reference P. Derler, J. Eidson, S. Goose, E. Lee, S. Matic, M. Zimmer, Using Ptides and synchronized clocks to design distributed systems with deterministic system wide timing, in Symposium on Precision Clock Synchronization for Measurement Control and Communication (ISPCS) (2013), pp. 41–46 P. Derler, J. Eidson, S. Goose, E. Lee, S. Matic, M. Zimmer, Using Ptides and synchronized clocks to design distributed systems with deterministic system wide timing, in Symposium on Precision Clock Synchronization for Measurement Control and Communication (ISPCS) (2013), pp. 41–46
5.
go back to reference J. Eker, J. Janneck, E. Lee, J. Liu, X. Liu, J. Ludvig, S. Neuendorffer, S. Sachs, Y. Xiong, Taming heterogeneity - the Ptolemy approach. Proc. IEEE 91 (1), 127–144 (2003)CrossRef J. Eker, J. Janneck, E. Lee, J. Liu, X. Liu, J. Ludvig, S. Neuendorffer, S. Sachs, Y. Xiong, Taming heterogeneity - the Ptolemy approach. Proc. IEEE 91 (1), 127–144 (2003)CrossRef
6.
go back to reference K. Goossens, A. Azevedo, K. Chandrasekar, M.D. Gomony, S. Goossens, M. Koedam, Y. Li, D. Mirzoyan, A. Molnos, A.B. Nejad, A. Nelson, S. Sinha, Virtual execution platforms for mixed-time-criticality systems: the CompSOC architecture and design flow. ACM Spec. Interest Group Embed. Syst. Rev. 10 (3), 23–34 (2013). http://doi.acm.org/10.1145/2544350.2544353 K. Goossens, A. Azevedo, K. Chandrasekar, M.D. Gomony, S. Goossens, M. Koedam, Y. Li, D. Mirzoyan, A. Molnos, A.B. Nejad, A. Nelson, S. Sinha, Virtual execution platforms for mixed-time-criticality systems: the CompSOC architecture and design flow. ACM Spec. Interest Group Embed. Syst. Rev. 10 (3), 23–34 (2013). http://​doi.​acm.​org/​10.​1145/​2544350.​2544353
7.
go back to reference A. Hamann, M. Jersak, K. Richter, R. Ernst, A framework for modular analysis and exploration of heterogeneous embedded systems. Real-Time Syst. 3, 101–137 (2006)CrossRefMATH A. Hamann, M. Jersak, K. Richter, R. Ernst, A framework for modular analysis and exploration of heterogeneous embedded systems. Real-Time Syst. 3, 101–137 (2006)CrossRefMATH
8.
go back to reference A. Hansson, M. Ekerhult, A. Molnos, A. Milutinovic, A. Nelson, J. Ambrose, K. Goossens, Design and implementation of an operating system for composable processor sharing. Microprocess. Microsyst. 35 (2), 246–260 (2011). Special issue on Network-on-Chip Architectures and Design Methodologies A. Hansson, M. Ekerhult, A. Molnos, A. Milutinovic, A. Nelson, J. Ambrose, K. Goossens, Design and implementation of an operating system for composable processor sharing. Microprocess. Microsyst. 35 (2), 246–260 (2011). Special issue on Network-on-Chip Architectures and Design Methodologies
9.
go back to reference K. Huang, W. Haid, I. Bacivarov, M. Keller, L. Thiele, Embedding formal performance analysis into the design cycle of MPSoCs for real-time streaming applications. ACM Trans. Embed. Comput. Syst. 11 (1), 8:1–8:23 (2012) K. Huang, W. Haid, I. Bacivarov, M. Keller, L. Thiele, Embedding formal performance analysis into the design cycle of MPSoCs for real-time streaming applications. ACM Trans. Embed. Comput. Syst. 11 (1), 8:1–8:23 (2012)
10.
go back to reference J. Jensen, D. Chang, E. Lee, A model-based design methodology for cyber-physical systems, in Proceedings of the 7th International Conference on Wireless Communications and Mobile Computing (IWCMC) (2011), pp. 1666–1671 J. Jensen, D. Chang, E. Lee, A model-based design methodology for cyber-physical systems, in Proceedings of the 7th International Conference on Wireless Communications and Mobile Computing (IWCMC) (2011), pp. 1666–1671
11.
go back to reference M. Kay, et al., XSL transformations (XSLT) version 2.0. W3C Recom. (2007) M. Kay, et al., XSL transformations (XSLT) version 2.0. W3C Recom. (2007)
12.
go back to reference E. Lee, D. Messerschmitt, Synchronous data flow. Proc. IEEE 75 (9), 1235–1245 (1987)CrossRef E. Lee, D. Messerschmitt, Synchronous data flow. Proc. IEEE 75 (9), 1235–1245 (1987)CrossRef
13.
go back to reference E. Lee, A. Sangiovanni-Vincentelli, A framework for comparing models of computation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17 (12), 1217–1229 (1998)CrossRef E. Lee, A. Sangiovanni-Vincentelli, A framework for comparing models of computation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17 (12), 1217–1229 (1998)CrossRef
14.
go back to reference B. Lickly, I. Liu, S. Kim, H.D. Patel, S.A. Edwards, E.A. Lee, Predictable programming on a precision timed architecture, in Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems. CASES ’08 (ACM, New York, 2008), pp. 137–146 B. Lickly, I. Liu, S. Kim, H.D. Patel, S.A. Edwards, E.A. Lee, Predictable programming on a precision timed architecture, in Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems. CASES ’08 (ACM, New York, 2008), pp. 137–146
15.
go back to reference A. Molnos, A.B. Nejad, B.T. Nguyen, S. Cotofana, K. Goossens, Decoupled inter- and intra-application scheduling for composable and robust embedded MPSoC platforms, in Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems. SCOPES ’12 (ACM, New York, 2012), pp. 13–21 A. Molnos, A.B. Nejad, B.T. Nguyen, S. Cotofana, K. Goossens, Decoupled inter- and intra-application scheduling for composable and robust embedded MPSoC platforms, in Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems. SCOPES ’12 (ACM, New York, 2012), pp. 13–21
16.
go back to reference O. Moreira, Temporal analysis and scheduling of hard real-time radios running on a multi-processor. Ph.D. thesis, Technical University of Eindhoven (2012) O. Moreira, Temporal analysis and scheduling of hard real-time radios running on a multi-processor. Ph.D. thesis, Technical University of Eindhoven (2012)
17.
go back to reference A.B. Nejad, A. Molnos, K. Goossens, A software-based technique enabling composable hierarchical preemptive scheduling for time-triggered applications, in Proc. Int’l Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA) (2013) A.B. Nejad, A. Molnos, K. Goossens, A software-based technique enabling composable hierarchical preemptive scheduling for time-triggered applications, in Proc. Int’l Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA) (2013)
18.
go back to reference A. Nelson, A.B. Nejad, A. Molnos, M. Koedam, K. Goossens, CoMik: a predictable and cycle-accurately composable real-time microkernel, in Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE) (2014) A. Nelson, A.B. Nejad, A. Molnos, M. Koedam, K. Goossens, CoMik: a predictable and cycle-accurately composable real-time microkernel, in Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE) (2014)
19.
go back to reference A. Nelson, K. Goossens, B. Akesson, Dataflow formalisation of real-time streaming applications on a composable and predictable multi-processor SOC. J. Syst. Archit. 61, 435–448 (2015)CrossRef A. Nelson, K. Goossens, B. Akesson, Dataflow formalisation of real-time streaming applications on a composable and predictable multi-processor SOC. J. Syst. Archit. 61, 435–448 (2015)CrossRef
20.
go back to reference S.A. Niaki, M. Jakobsen, T. Sulonen, I. Sander, Formal heterogeneous system modeling with SystemC, in Proceedings of the Forum on Specification and Design Languages (FDL) (2012), pp. 160–167 S.A. Niaki, M. Jakobsen, T. Sulonen, I. Sander, Formal heterogeneous system modeling with SystemC, in Proceedings of the Forum on Specification and Design Languages (FDL) (2012), pp. 160–167
22.
go back to reference M. Schoeberl, C. Brooks, E. Lee, Code generation for embedded Java with Ptolemy, in Software Technologies for Embedded and Ubiquitous Systems, ed. by S. Min, R. Pettit, P. Puschner, T. Ungerer. Lecture Notes in Computer Science, vol. 6399 (Springer, Berlin/Heidelberg, 2010), pp. 155–166 M. Schoeberl, C. Brooks, E. Lee, Code generation for embedded Java with Ptolemy, in Software Technologies for Embedded and Ubiquitous Systems, ed. by S. Min, R. Pettit, P. Puschner, T. Ungerer. Lecture Notes in Computer Science, vol. 6399 (Springer, Berlin/Heidelberg, 2010), pp. 155–166
23.
go back to reference S. Smith, J. Brady, SUSAN–a new approach to low level image processing. Int. J. Comput. Vis. 23 (1), 45–78 (1997)CrossRef S. Smith, J. Brady, SUSAN–a new approach to low level image processing. Int. J. Comput. Vis. 23 (1), 45–78 (1997)CrossRef
24.
go back to reference R. Stefan, A. Molnos, K. Goossens, dAElite: a TDM NoC supporting QoS, multicast, and fast connection set-up. IEEE Trans. Comput. 99, 1–10 (2012)MathSciNet R. Stefan, A. Molnos, K. Goossens, dAElite: a TDM NoC supporting QoS, multicast, and fast connection set-up. IEEE Trans. Comput. 99, 1–10 (2012)MathSciNet
25.
go back to reference S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD) (2006), pp. 276–278 S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD) (2006), pp. 276–278
Metadata
Title
A Composable and Predictable MPSoC Design Flow for Multiple Real-Time Applications
Authors
Seyed-Hosein Attarzadeh-Niaki
Ekrem Altinel
Martijn Koedam
Anca Molnos
Ingo Sander
Kees Goossens
Copyright Year
2017
DOI
https://doi.org/10.1007/978-3-319-47307-9_6