Skip to main content
Top

2019 | OriginalPaper | Chapter

18. Approximate Ultra-Low Voltage Many-Core Processor Design

Authors : Nam Sung Kim, Ulya R. Karpuzcu

Published in: Approximate Circuits

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Computing at ultra-low voltages can increase the energy efficiency significantly, however, operating frequency and resilience to errors degrade as the operating voltage reaches the transistor threshold voltage. More parallelism can help prevent degradation in throughput performance arising from the lower frequency. More parallelism, however, makes more components subject to errors, which exacerbates the already intensified vulnerability to errors. This chapter is all about how to exploit the intrinsic noise tolerance of emerging R(ecognition), M(ining), and S(ynthesis) applications in addressing degraded resilience at ultra-low voltages by embracing errors.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Akturk I, Kim NS, Karpuzcu UR (2015) Decoupled control and data processing for approximate near-threshold voltage computing. IEEE Micro 35(4):70–78CrossRef Akturk I, Kim NS, Karpuzcu UR (2015) Decoupled control and data processing for approximate near-threshold voltage computing. IEEE Micro 35(4):70–78CrossRef
2.
go back to reference Bhadauria M, Weaver VM, McKee SA (2009) Understanding PARSEC performance on contemporary CMPs. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), Washington, DC, USA, pp 98–107 Bhadauria M, Weaver VM, McKee SA (2009) Understanding PARSEC performance on contemporary CMPs. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), Washington, DC, USA, pp 98–107
3.
go back to reference Bienia C (January 2011) Benchmarking modern multiprocessors. Ph.D. Thesis, Princeton University Bienia C (January 2011) Benchmarking modern multiprocessors. Ph.D. Thesis, Princeton University
4.
go back to reference Chang L et al (February 2010) Practical strategies for power-efficient computing technologies. Proc IEEE 98(2):215–236 Chang L et al (February 2010) Practical strategies for power-efficient computing technologies. Proc IEEE 98(2):215–236
5.
go back to reference Chippa VK, Mohapatra D, Raghunathan A, Roy K, Chakradhar ST (2010) Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency. In: ACM/EDAC/IEEE design automation conference Chippa VK, Mohapatra D, Raghunathan A, Roy K, Chakradhar ST (2010) Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency. In: ACM/EDAC/IEEE design automation conference
6.
go back to reference Chippa V, Raghunathan A, Roy K, Chakradhar S (2011) Dynamic effort scaling: managing the quality-efficiency tradeoff. In: ACM/EDAC/IEEE design automation conference Chippa V, Raghunathan A, Roy K, Chakradhar S (2011) Dynamic effort scaling: managing the quality-efficiency tradeoff. In: ACM/EDAC/IEEE design automation conference
7.
go back to reference Cho H, Leem L, Mitra S (April 2012) ERSA: Error Resilient System Architecture for probabilistic applications. In: IEEE Trans Comput Aided Des Integr Circuits Syst 31(4):546–558 Cho H, Leem L, Mitra S (April 2012) ERSA: Error Resilient System Architecture for probabilistic applications. In: IEEE Trans Comput Aided Des Integr Circuits Syst 31(4):546–558
8.
go back to reference de Kruijf M, Nomura S, Sankaralingam K (2011) Relax: an architectural framework for software recovery of hardware faults. In: IEEE/ACM International Symposium on Computer Architecture (ISCA) de Kruijf M, Nomura S, Sankaralingam K (2011) Relax: an architectural framework for software recovery of hardware faults. In: IEEE/ACM International Symposium on Computer Architecture (ISCA)
9.
go back to reference Dennard RH, Gaensslen FH, Rideout VL, Bassous E, LeBlanc AR (October 1974) Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid State Circuits 9(5):256–268CrossRef Dennard RH, Gaensslen FH, Rideout VL, Bassous E, LeBlanc AR (October 1974) Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid State Circuits 9(5):256–268CrossRef
10.
go back to reference Dreslinski RG, Wieckowski M, Blaauw D, Sylvester D, Mudge T (February 2010) Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc IEEE 98(2):253–266CrossRef Dreslinski RG, Wieckowski M, Blaauw D, Sylvester D, Mudge T (February 2010) Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc IEEE 98(2):253–266CrossRef
11.
go back to reference Dreslinski RG, Giridhar B, Pinckney N, Blaauw D, Sylvester D, Mudge T (2012) Reevaluating fast dual-voltage power rail switching circuitry. In: Annual Workshop of Duplicating, Deconstructing and Debunking (WDDD) in conjunction with ISCA, vol. 39 Dreslinski RG, Giridhar B, Pinckney N, Blaauw D, Sylvester D, Mudge T (2012) Reevaluating fast dual-voltage power rail switching circuitry. In: Annual Workshop of Duplicating, Deconstructing and Debunking (WDDD) in conjunction with ISCA, vol. 39
12.
go back to reference Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) In: ACM international conference on architectural support for programming languages and operating systems Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) In: ACM international conference on architectural support for programming languages and operating systems
13.
go back to reference Greskamp B et al (2009) Blueshift: designing processors for timing speculation from the ground up. In: IEEE international symposium on high performance computer architecture Greskamp B et al (2009) Blueshift: designing processors for timing speculation from the ground up. In: IEEE international symposium on high performance computer architecture
14.
go back to reference Gustafson JL (1988) Reevaluating Amdahl’s law. Commun ACM 31(5):532–533CrossRef Gustafson JL (1988) Reevaluating Amdahl’s law. Commun ACM 31(5):532–533CrossRef
15.
go back to reference Horowitz M (2014) Computing’s energy problem (and what we can do about it). In: Keynote at IEEE international conference on solid state circuits Horowitz M (2014) Computing’s energy problem (and what we can do about it). In: Keynote at IEEE international conference on solid state circuits
16.
go back to reference Jain S et al (2012) A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS. In: IEEE international solid-state circuits conference, San Francisco, CA, pp 66–68 Jain S et al (2012) A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS. In: IEEE international solid-state circuits conference, San Francisco, CA, pp 66–68
17.
go back to reference Kaul H, Anders M, Hsu S, Agarwal A, Krishnamurthy R, Borkar S (2012) Near-threshold voltage (NTV) design – opportunities and challenges. In: ACM/EDAC/IEEE design automation conference Kaul H, Anders M, Hsu S, Agarwal A, Krishnamurthy R, Borkar S (2012) Near-threshold voltage (NTV) design – opportunities and challenges. In: ACM/EDAC/IEEE design automation conference
18.
go back to reference Karpuzcu UR, Kolluru KB, Kim NS, Torrellas J (2012) VARIUS-NTV: a microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages. In: IEEE/IFIP international conference on dependable systems and networks Karpuzcu UR, Kolluru KB, Kim NS, Torrellas J (2012) VARIUS-NTV: a microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages. In: IEEE/IFIP international conference on dependable systems and networks
19.
go back to reference Karpuzcu UR, Sinkar A, Kim NS, Torrellas J (2013) EnergySmart: toward energy-efficient manycores for near-threshold computing. IEEE international symposium on High Performance Computer Architecture (HPCA), Shenzhen, pp 542–553 Karpuzcu UR, Sinkar A, Kim NS, Torrellas J (2013) EnergySmart: toward energy-efficient manycores for near-threshold computing. IEEE international symposium on High Performance Computer Architecture (HPCA), Shenzhen, pp 542–553
20.
go back to reference Karpuzcu UR, Akturk I, Kim NS (2014) Accordion: toward soft near-threshold voltage computing. IEEE international symposium on High Performance Computer Architecture (HPCA), Orlando, FL, pp 72–83 Karpuzcu UR, Akturk I, Kim NS (2014) Accordion: toward soft near-threshold voltage computing. IEEE international symposium on High Performance Computer Architecture (HPCA), Orlando, FL, pp 72–83
22.
go back to reference Snyder L (1986) Type architectures, shared memory, and the corollary of modest potential. In: Traub JF, Grosz BJ, Lampson BW, Nilsson NJ (eds.) Annual review of computer science, vol 1. Annual Reviews Inc., Palo Alto, pp 289–317 Snyder L (1986) Type architectures, shared memory, and the corollary of modest potential. In: Traub JF, Grosz BJ, Lampson BW, Nilsson NJ (eds.) Annual review of computer science, vol 1. Annual Reviews Inc., Palo Alto, pp 289–317
23.
go back to reference Taylor M (2012) Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse. In: ACM/EDAC/IEEE design automation conference Taylor M (2012) Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse. In: ACM/EDAC/IEEE design automation conference
Metadata
Title
Approximate Ultra-Low Voltage Many-Core Processor Design
Authors
Nam Sung Kim
Ulya R. Karpuzcu
Copyright Year
2019
DOI
https://doi.org/10.1007/978-3-319-99322-5_18