Skip to main content
Top

2019 | OriginalPaper | Chapter

Brain-Inspired Computing

Authors : Naoya Onizawa, Warren J. Gross, Takahiro Hanyu

Published in: Stochastic Computing: Techniques and Applications

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter summarizes applications of stochastic computing for brain-inspired computing, which we refer to as Brainware Large-Scale Integration (BLSI). Stochastic computing exploits random bit streams, realizing area-efficient hardware for complicated functions such as multiplication and tanh, as compared with more traditional binary approaches. Using stochastic computing, we have implemented hardware for several physiological models of the primary visual cortex of brains, where these models require such complicated functions. In addition, a deep neural network using stochastic computing has been designed for area/energy-efficient hardware. In order to design BLSIs, we have introduced extended arithmetic functions, such as circular functions. As a design example, our BLSIs are implemented using Taiwan Semiconductor Manufacturing Company (TSMC) 65-nm Complementary Metal Oxide Semiconductor (CMOS) and discussed with traditional fixed-point implementations in terms of hardware performance and computation accuracy.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Footnotes
1
Since 2014 in BLSI project of Ministry of Education, Culture, Sports, Science and Technology (MEXT) in Japan, we have implemented several BLSIs based on stochastic computing for brain-inspired physiological models and deep neural networks.
 
Literature
1.
go back to reference P. A. Merolla, J. V. Arthur, R. Alvarez-Icaza1, A. S. Cassidy, J. Sawada, F. Akopyan, B. L. Jackson, N. Imam, C. Guo, Y. Nakamura, B. Brezzo, I. Vo, S. K. Esser, R. Appuswamy, B. Taba, A. Amir, M. D. Flickner, W. P. Risk, R. Manohar, and D. S. Modha. A million spiking-neuron integrated circuit with a scalable communication network and interface. Science, 345(6197):668–673, Aug 2014.CrossRef P. A. Merolla, J. V. Arthur, R. Alvarez-Icaza1, A. S. Cassidy, J. Sawada, F. Akopyan, B. L. Jackson, N. Imam, C. Guo, Y. Nakamura, B. Brezzo, I. Vo, S. K. Esser, R. Appuswamy, B. Taba, A. Amir, M. D. Flickner, W. P. Risk, R. Manohar, and D. S. Modha. A million spiking-neuron integrated circuit with a scalable communication network and interface. Science, 345(6197):668–673, Aug 2014.CrossRef
2.
go back to reference R. Dechter. Learning while searching in constraint satisfaction problems. In Proc. AAAI-86, Fifth Nat. Conf. Artif. Intell., pages 178–183, 1986. R. Dechter. Learning while searching in constraint satisfaction problems. In Proc. AAAI-86, Fifth Nat. Conf. Artif. Intell., pages 178–183, 1986.
3.
go back to reference G. E. Hinton, S. Osindero, and Y.-W. Teh. A fast learning algorithm for deep belief nets. Neural Comput., 18(7):1527–1554, July 2006.MathSciNetCrossRef G. E. Hinton, S. Osindero, and Y.-W. Teh. A fast learning algorithm for deep belief nets. Neural Comput., 18(7):1527–1554, July 2006.MathSciNetCrossRef
4.
go back to reference S. Park, K. Bong, D. Shin, J. Lee, S. Choi, and H. J. Yoo. 1.93TOPS/W scalable deep learning/inference processor with tetra-parallel mimd architecture for big-data applications. In 2015 IEEE International Solid-State Circuits Conference (ISSCC), pages 1–3, Feb 2015. S. Park, K. Bong, D. Shin, J. Lee, S. Choi, and H. J. Yoo. 1.93TOPS/W scalable deep learning/inference processor with tetra-parallel mimd architecture for big-data applications. In 2015 IEEE International Solid-State Circuits Conference (ISSCC), pages 1–3, Feb 2015.
5.
go back to reference S. Park, I. Hong, J. Park, and H. J. Yoo. An energy-efficient embedded deep neural network processor for high speed visual attention in mobile vision recognition soc. IEEE Journal of Solid-State Circuits, 51(10):2380–2388, Oct 2016. S. Park, I. Hong, J. Park, and H. J. Yoo. An energy-efficient embedded deep neural network processor for high speed visual attention in mobile vision recognition soc. IEEE Journal of Solid-State Circuits, 51(10):2380–2388, Oct 2016.
6.
go back to reference N. Onizawa, D. Katagiri, K. Matsumiya, W. J. Gross, and T. Hanyu. Gabor filter based on stochastic computation. IEEE Signal Processing Letters, 22(9):1224–1228, Sept. 2015.CrossRef N. Onizawa, D. Katagiri, K. Matsumiya, W. J. Gross, and T. Hanyu. Gabor filter based on stochastic computation. IEEE Signal Processing Letters, 22(9):1224–1228, Sept. 2015.CrossRef
7.
go back to reference N. Onizawa, D. Katagiri, K. Matsumiya, W. J. Gross, and T. Hanyu. An accuracy/energy-flexible configurable Gabor-filter chip based on stochastic computation with dynamic voltage-frequency-length scaling. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pages 1–1, 2018 (to appear). N. Onizawa, D. Katagiri, K. Matsumiya, W. J. Gross, and T. Hanyu. An accuracy/energy-flexible configurable Gabor-filter chip based on stochastic computation with dynamic voltage-frequency-length scaling. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pages 1–1, 2018 (to appear).
8.
go back to reference A. Ardakani, F. Leduc-Primeau, N. Onizawa, T. Hanyu, and W. J. Gross. VLSI implementation of deep neural network using integral stochastic computing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(10):2588–2599, Oct. 2017.CrossRef A. Ardakani, F. Leduc-Primeau, N. Onizawa, T. Hanyu, and W. J. Gross. VLSI implementation of deep neural network using integral stochastic computing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(10):2588–2599, Oct. 2017.CrossRef
9.
go back to reference B. D. Brown and H. C. Card. Stochastic neural computation. I. computational elements. IEEE Transactions on Computers, 50(9):891–905, Sep 2001.MathSciNetCrossRef B. D. Brown and H. C. Card. Stochastic neural computation. I. computational elements. IEEE Transactions on Computers, 50(9):891–905, Sep 2001.MathSciNetCrossRef
10.
go back to reference V. C. Gaudet and A. C. Rapley. Iterative decoding using stochastic computation. Electronics Letters, 39(3):299–301, Feb. 2003.CrossRef V. C. Gaudet and A. C. Rapley. Iterative decoding using stochastic computation. Electronics Letters, 39(3):299–301, Feb. 2003.CrossRef
11.
go back to reference S. S. Tehrani, W. J. Gross, and S. Mannor. Stochastic decoding of LDPC codes. IEEE Communications Letters, 10(10):716–718, Oct. 2006.CrossRef S. S. Tehrani, W. J. Gross, and S. Mannor. Stochastic decoding of LDPC codes. IEEE Communications Letters, 10(10):716–718, Oct. 2006.CrossRef
12.
go back to reference S. S. Tehrani, S. Mannor, and W. J. Gross. Fully parallel stochastic LDPC decoders. IEEE Transactions on Signal Processing, 56(11):5692–5703, Nov. 2008.MathSciNetCrossRef S. S. Tehrani, S. Mannor, and W. J. Gross. Fully parallel stochastic LDPC decoders. IEEE Transactions on Signal Processing, 56(11):5692–5703, Nov. 2008.MathSciNetCrossRef
13.
go back to reference S. S. Tehrani, A. Naderi, G. A. Kamendje, S. Hemati, S. Mannor, and W. J. Gross. Majority-based tracking forecast memories for stochastic LDPC decoding. IEEE Transactions on Signal Processing, 58(9):4883–4896, Sep. 2010.MathSciNetCrossRef S. S. Tehrani, A. Naderi, G. A. Kamendje, S. Hemati, S. Mannor, and W. J. Gross. Majority-based tracking forecast memories for stochastic LDPC decoding. IEEE Transactions on Signal Processing, 58(9):4883–4896, Sep. 2010.MathSciNetCrossRef
14.
go back to reference L. Peng and D. J. Lilja. Using stochastic computing to implement digital image processing algorithms. In 29th ICCD, pages 154–161, Oct 2011. L. Peng and D. J. Lilja. Using stochastic computing to implement digital image processing algorithms. In 29th ICCD, pages 154–161, Oct 2011.
15.
go back to reference P. Li, D. J. Lilja, W. Qian, K. Bazargan, and M. D. Riedel. Computation on stochastic bit streams digital image processing case studies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(3):449–462, Mar. 2014.CrossRef P. Li, D. J. Lilja, W. Qian, K. Bazargan, and M. D. Riedel. Computation on stochastic bit streams digital image processing case studies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(3):449–462, Mar. 2014.CrossRef
16.
go back to reference A. Alaghi, C. Li, and J. P. Hayes. Stochastic circuits for real-time image-processing applications. In 50th DAC, pages 1–6, May 2013. A. Alaghi, C. Li, and J. P. Hayes. Stochastic circuits for real-time image-processing applications. In 50th DAC, pages 1–6, May 2013.
17.
go back to reference K. K. Parhi and Y. Liu. Architectures for IIR digital filters using stochastic computing. In 2014 ISCAS, pages 373–376, June 2014. K. K. Parhi and Y. Liu. Architectures for IIR digital filters using stochastic computing. In 2014 ISCAS, pages 373–376, June 2014.
18.
go back to reference N. Saraf, K. Bazargan, D. J. Lilja, and M. D. Riedel. IIR filters using stochastic arithmetic. In 2014 Design, Automation Test in Europe Conference Exhibition (DATE), pages 1–6, March 2014. N. Saraf, K. Bazargan, D. J. Lilja, and M. D. Riedel. IIR filters using stochastic arithmetic. In 2014 Design, Automation Test in Europe Conference Exhibition (DATE), pages 1–6, March 2014.
19.
go back to reference Y. Liu and K. K. Parhi. Architectures for recursive digital filters using stochastic computing. IEEE Transactions on Signal Processing, 64(14):3705–3718, July 2016.MathSciNetCrossRef Y. Liu and K. K. Parhi. Architectures for recursive digital filters using stochastic computing. IEEE Transactions on Signal Processing, 64(14):3705–3718, July 2016.MathSciNetCrossRef
20.
go back to reference J. Chen, J. Hu, and J. Zhou. Hardware and energy-efficient stochastic LU decomposition scheme for MIMO receivers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(4):1391–1401, April 2016.CrossRef J. Chen, J. Hu, and J. Zhou. Hardware and energy-efficient stochastic LU decomposition scheme for MIMO receivers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(4):1391–1401, April 2016.CrossRef
21.
go back to reference S. Sato, K. Nemoto, S. Akimoto, M. Kinjo, and K. Nakajima. Implementation of a new neurochip using stochastic logic. IEEE Transactions on Neural Networks, 14(5):1122–1127, Sept 2003.CrossRef S. Sato, K. Nemoto, S. Akimoto, M. Kinjo, and K. Nakajima. Implementation of a new neurochip using stochastic logic. IEEE Transactions on Neural Networks, 14(5):1122–1127, Sept 2003.CrossRef
22.
go back to reference N. Onizawa, D. Katagiri, W. J. Gross, and T. Hanyu. Analog-to-stochastic converter using magnetic tunnel junction devices for vision chips. IEEE Transactions on Nanotechnology, 15(5):705–714, 2016.CrossRef N. Onizawa, D. Katagiri, W. J. Gross, and T. Hanyu. Analog-to-stochastic converter using magnetic tunnel junction devices for vision chips. IEEE Transactions on Nanotechnology, 15(5):705–714, 2016.CrossRef
23.
go back to reference K. Boga, F. Leduc-Primeau, N. Onizawa, K. Matsumiya, T. Hanyu, and W. J. Gross. A generalized stochastic implementation of the disparity energy model for depth perception. Journal of Signal Processing Systems, 90(5):709–725, May 2018.CrossRef K. Boga, F. Leduc-Primeau, N. Onizawa, K. Matsumiya, T. Hanyu, and W. J. Gross. A generalized stochastic implementation of the disparity energy model for depth perception. Journal of Signal Processing Systems, 90(5):709–725, May 2018.CrossRef
24.
go back to reference N. Onizawa, S. Koshita, S. Sakamoto, M. Abe, M. Kawamata, and T. Hanyu. Area/energy-efficient gammatone filters based on stochastic computation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(10):2724–2735, Oct 2017.CrossRef N. Onizawa, S. Koshita, S. Sakamoto, M. Abe, M. Kawamata, and T. Hanyu. Area/energy-efficient gammatone filters based on stochastic computation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(10):2724–2735, Oct 2017.CrossRef
25.
go back to reference B. Moons and M. Verhelst. Energy-efficiency and accuracy of stochastic computing circuits in emerging technologies. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 4(4):475–486, Dec 2014.CrossRef B. Moons and M. Verhelst. Energy-efficiency and accuracy of stochastic computing circuits in emerging technologies. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 4(4):475–486, Dec 2014.CrossRef
26.
go back to reference C. L. Janer, J. M. Quero, J. G. Ortega, and L. G. Franquelo. Fully parallel stochastic computation architecture. IEEE Transactions on Signal Processing, 44(8):2110–2117, Aug 1996.CrossRef C. L. Janer, J. M. Quero, J. G. Ortega, and L. G. Franquelo. Fully parallel stochastic computation architecture. IEEE Transactions on Signal Processing, 44(8):2110–2117, Aug 1996.CrossRef
27.
go back to reference D. Gabor. Theory of communications. Journal of Inst. Elect. Eng. - Part III: Radio and Communication Engineering, 93(26):429–441, Nov. 1946. D. Gabor. Theory of communications. Journal of Inst. Elect. Eng. - Part III: Radio and Communication Engineering, 93(26):429–441, Nov. 1946.
28.
go back to reference J.-B. Liu, S. Wang, Y. Li, J. Han, and X.-Y. Zeng. Configurable pipelined Gabor filter implementation for fingerprint image enhancement. In 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), pages 584–586, Nov 2010. J.-B. Liu, S. Wang, Y. Li, J. Han, and X.-Y. Zeng. Configurable pipelined Gabor filter implementation for fingerprint image enhancement. In 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), pages 584–586, Nov 2010.
29.
go back to reference T. Morie, J. Umezawa, and A. Iwata. A pixel-parallel image processor for Gabor filtering based on merged analog/digital architecture. In Digest of Technical Papers in 2004 Symposium on VLSI Circuits, pages 212–213, June 2004. T. Morie, J. Umezawa, and A. Iwata. A pixel-parallel image processor for Gabor filtering based on merged analog/digital architecture. In Digest of Technical Papers in 2004 Symposium on VLSI Circuits, pages 212–213, June 2004.
30.
go back to reference E. Cesur, N. Yildiz, and V. Tavsanoglu. On an improved FPGA implementation of CNN-based Gabor-type filters. IEEE Transactions on Circuits and Systems II: Express Briefs, 59(11):815–819, Nov. 2012.CrossRef E. Cesur, N. Yildiz, and V. Tavsanoglu. On an improved FPGA implementation of CNN-based Gabor-type filters. IEEE Transactions on Circuits and Systems II: Express Briefs, 59(11):815–819, Nov. 2012.CrossRef
31.
go back to reference B. Li, M. H. Najafi, and D. J. Lilja. An FPGA implementation of a restricted boltzmann machine classifier using stochastic bit streams. In 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP), pages 68–69, July 2015. B. Li, M. H. Najafi, and D. J. Lilja. An FPGA implementation of a restricted boltzmann machine classifier using stochastic bit streams. In 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP), pages 68–69, July 2015.
32.
go back to reference K. Kim, J. Kim, J. Yu, J. Seo, J. Lee, and K. Choi. Dynamic energy-accuracy trade-off using stochastic computing in deep neural networks. In 2016 53rd ACM/EDAC/IEEE Design Automation Conference (DAC), pages 1–6, June 2016. K. Kim, J. Kim, J. Yu, J. Seo, J. Lee, and K. Choi. Dynamic energy-accuracy trade-off using stochastic computing in deep neural networks. In 2016 53rd ACM/EDAC/IEEE Design Automation Conference (DAC), pages 1–6, June 2016.
33.
go back to reference M. Tanaka and M. Okutomi. A novel inference of a restricted boltzmann machine. In 2014 22nd International Conference on Pattern Recognition, pages 1526–1531, Aug 2014. M. Tanaka and M. Okutomi. A novel inference of a restricted boltzmann machine. In 2014 22nd International Conference on Pattern Recognition, pages 1526–1531, Aug 2014.
Metadata
Title
Brain-Inspired Computing
Authors
Naoya Onizawa
Warren J. Gross
Takahiro Hanyu
Copyright Year
2019
DOI
https://doi.org/10.1007/978-3-030-03730-7_10