Skip to main content
Top

2013 | OriginalPaper | Chapter

DSP Instruction Set Simulation

Authors : Florian Brandner, Nigel Horspool, Andreas Krall

Published in: Handbook of Signal Processing Systems

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

An instruction set simulator is an important tool for system architects and for software developers. However, when implementing a simulator, there are many choices which can be made and that have an effect on the speed and the accuracy of the simulation. They are especially relevant to DSP simulation. This chapter explains the different strategies for implementing a simulator.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Almer, O., Böhm, I., von Koch, T.J.K.E., Franke, B., Kyle, S.C., Seeker, V., Thompson, C., Topham, N.P.: Scalable multi-core simulation using parallel dynamic binary translation. In: International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, ICSAMOS ’11, pp. 190–199. IEEE (2011) Almer, O., Böhm, I., von Koch, T.J.K.E., Franke, B., Kyle, S.C., Seeker, V., Thompson, C., Topham, N.P.: Scalable multi-core simulation using parallel dynamic binary translation. In: International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, ICSAMOS ’11, pp. 190–199. IEEE (2011)
2.
go back to reference August, D., Chang, J., Girbal, S., Gracia-Perez, D., Mouchard, G., Penry, D.A., Temam, O., Vachharajani, N.: UNISIM: An open simulation environment and library for complex architecture design and collaborative development. IEEE Computer Architecture Letters 6(2), 45–48 (2007)CrossRef August, D., Chang, J., Girbal, S., Gracia-Perez, D., Mouchard, G., Penry, D.A., Temam, O., Vachharajani, N.: UNISIM: An open simulation environment and library for complex architecture design and collaborative development. IEEE Computer Architecture Letters 6(2), 45–48 (2007)CrossRef
3.
go back to reference Austin, T., Larson, E., Ernst, D.: SimpleScalar: An infrastructure for computer system modeling. Computer 35(2), 59–67 (2002)CrossRef Austin, T., Larson, E., Ernst, D.: SimpleScalar: An infrastructure for computer system modeling. Computer 35(2), 59–67 (2002)CrossRef
7.
go back to reference Bermudo, N., Horspool, N., Krall, A.: Control flow graph reconstruction for reverse compilation of assembly language programs with delayed instructions. In: SCAM’05: Proceedings of the Fifth International Workshop on Source Code Analysis and Manipulation, pp. 107–116 (2005) Bermudo, N., Horspool, N., Krall, A.: Control flow graph reconstruction for reverse compilation of assembly language programs with delayed instructions. In: SCAM’05: Proceedings of the Fifth International Workshop on Source Code Analysis and Manipulation, pp. 107–116 (2005)
9.
go back to reference Brandner, F.: Precise simulation of interrupts using a rollback mechanism. In: SCOPES ’09: Proceedings of the 12th International Workshop on Software and Compilers for Embedded Systems, pp. 71–80 (2009) Brandner, F.: Precise simulation of interrupts using a rollback mechanism. In: SCOPES ’09: Proceedings of the 12th International Workshop on Software and Compilers for Embedded Systems, pp. 71–80 (2009)
10.
go back to reference Brandner, F., Fellnhofer, A., Krall, A., Riegler, D.: Fast and accurate simulation using the LLVM compiler framework. In: RAPIDO ’09: 1st Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (2009) Brandner, F., Fellnhofer, A., Krall, A., Riegler, D.: Fast and accurate simulation using the LLVM compiler framework. In: RAPIDO ’09: 1st Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (2009)
12.
go back to reference Chiou, D., Sanjeliwala, H., Sunwoo, D., Xu, J.Z., Patil, N.: FPGA-based fast, cycle-accurate, full-system simulators. In: WARFP’06: Proceedings of the second Workshop on Architecture Research using FPGA Platforms (2006) Chiou, D., Sanjeliwala, H., Sunwoo, D., Xu, J.Z., Patil, N.: FPGA-based fast, cycle-accurate, full-system simulators. In: WARFP’06: Proceedings of the second Workshop on Architecture Research using FPGA Platforms (2006)
13.
go back to reference Chiou, D., Sunwoo, D., Kim, J., Patil, N., Reinhart, W.H., Johnson, D.E., Xu, Z.: The FAST methodology for high-speed SoC/computer simulation. In: ICCAD ’07: Proceedings of the 2007 IEEE/ACM International Conference on Computer-Aided Design, pp. 295–302 (2007) Chiou, D., Sunwoo, D., Kim, J., Patil, N., Reinhart, W.H., Johnson, D.E., Xu, Z.: The FAST methodology for high-speed SoC/computer simulation. In: ICCAD ’07: Proceedings of the 2007 IEEE/ACM International Conference on Computer-Aided Design, pp. 295–302 (2007)
14.
go back to reference Chiou, D., Sunwoo, D., Kim, J., Patil, N.A., Reinhart, W., Johnson, D.E., Keefe, J., Angepat, H.: FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators. In: MICRO ’07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 249–261 (2007). DOI http://dx.doi.org/10.1109/MICRO.2007.16 Chiou, D., Sunwoo, D., Kim, J., Patil, N.A., Reinhart, W., Johnson, D.E., Keefe, J., Angepat, H.: FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators. In: MICRO ’07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 249–261 (2007). DOI http://​dx.​doi.​org/​10.​1109/​MICRO.​2007.​16
15.
go back to reference Chung, E.S., Hoe, J.C., Falsafi, B.: ProtoFlex: Co-simulation for component-wise FPGA emulator development. In: WARFP ’06: In Proceedings of the 2nd Workshop on Architecture Research using FPGA Platforms (2006) Chung, E.S., Hoe, J.C., Falsafi, B.: ProtoFlex: Co-simulation for component-wise FPGA emulator development. In: WARFP ’06: In Proceedings of the 2nd Workshop on Architecture Research using FPGA Platforms (2006)
16.
go back to reference Chung, E.S., Nurvitadhi, E., Hoe, J.C., Falsafi, B., Mai, K.: A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. In: FPGA ’08: Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays, pp. 77–86 (2008). DOI http://doi.acm.org/10.1145/1344671.1344684 Chung, E.S., Nurvitadhi, E., Hoe, J.C., Falsafi, B., Mai, K.: A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. In: FPGA ’08: Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays, pp. 77–86 (2008). DOI http://​doi.​acm.​org/​10.​1145/​1344671.​1344684
17.
go back to reference Chung, E.S., Papamichael, M.K., Nurvitadhi, E., Hoe, J.C., Mai, K., Falsafi, B.: ProtoFlex: Towards scalable, full-system multiprocessor simulations using FPGAs. ACM Transactions on Reconfigurable Technology and Systems (TRETS 2(2), 1–32 (2009) Chung, E.S., Papamichael, M.K., Nurvitadhi, E., Hoe, J.C., Mai, K., Falsafi, B.: ProtoFlex: Towards scalable, full-system multiprocessor simulations using FPGAs. ACM Transactions on Reconfigurable Technology and Systems (TRETS 2(2), 1–32 (2009)
18.
go back to reference Cmelik, B., Keppel, D.: Shade: A fast instruction-set simulator for execution profiling. In: SIGMETRICS ’94: Proceedings of the 1994 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pp. 128–137 (1994) Cmelik, B., Keppel, D.: Shade: A fast instruction-set simulator for execution profiling. In: SIGMETRICS ’94: Proceedings of the 1994 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pp. 128–137 (1994)
19.
go back to reference Cofer, R.C., Harding, B.: Rapid System Prototyping with FPGAs: Accelerating the Design Process. Newnes (2005) Cofer, R.C., Harding, B.: Rapid System Prototyping with FPGAs: Accelerating the Design Process. Newnes (2005)
20.
go back to reference Dehnert, J.C., Grant, B.K., Banning, J.P., Johnson, R., Kistler, T., Klaiber, A., Mattson, J.: The Transmeta Code MorphingTM software: Using speculation, recovery, and adaptive retranslation to address real-life challenges. In: CGO ’03: Proceedings of the International Symposium on Code Generation and Optimization, pp. 15–24 (2003) Dehnert, J.C., Grant, B.K., Banning, J.P., Johnson, R., Kistler, T., Klaiber, A., Mattson, J.: The Transmeta Code MorphingTM software: Using speculation, recovery, and adaptive retranslation to address real-life challenges. In: CGO ’03: Proceedings of the International Symposium on Code Generation and Optimization, pp. 15–24 (2003)
21.
go back to reference Ebcioğlu, K., Altman, E., Gschwind, M., Sathaye, S.: Dynamic binary translation and optimization. IEEE Transactions on Computers 50(6), 529–548 (2001)CrossRef Ebcioğlu, K., Altman, E., Gschwind, M., Sathaye, S.: Dynamic binary translation and optimization. IEEE Transactions on Computers 50(6), 529–548 (2001)CrossRef
22.
go back to reference Ebcioğlu, K., Altman, E.R.: DAISY: Dynamic compilation for 100% architectural compatibility. In: ISCA ’97: Proceedings of the 24th International Symposium on Computer Architecture, pp. 26–37 (1997) Ebcioğlu, K., Altman, E.R.: DAISY: Dynamic compilation for 100% architectural compatibility. In: ISCA ’97: Proceedings of the 24th International Symposium on Computer Architecture, pp. 26–37 (1997)
23.
go back to reference Ebcioğlu, K., Altman, E.R., Gschwind, M., Sathaye, S.: Optimizations and oracle parallelism with dynamic translation. In: MICRO 32: Proceedings of the 32nd annual ACM/IEEE International Symposium on Microarchitecture, pp. 284–295 (1999) Ebcioğlu, K., Altman, E.R., Gschwind, M., Sathaye, S.: Optimizations and oracle parallelism with dynamic translation. In: MICRO 32: Proceedings of the 32nd annual ACM/IEEE International Symposium on Microarchitecture, pp. 284–295 (1999)
24.
25.
go back to reference Errico, J.D., Qin, W.: Constructing portable compiled instruction-set simulators - an ADL-driven approach. In: DATE ’06: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 112–117 (2006) Errico, J.D., Qin, W.: Constructing portable compiled instruction-set simulators - an ADL-driven approach. In: DATE ’06: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 112–117 (2006)
26.
go back to reference Farfeleder, S., Krall, A., Horspool, N.: Ultra fast cycle-accurate compiled emulation of inorder pipelined architectures. EUROMICRO Journal of Systems Architecture 53(8), 501–510 (2007)CrossRef Farfeleder, S., Krall, A., Horspool, N.: Ultra fast cycle-accurate compiled emulation of inorder pipelined architectures. EUROMICRO Journal of Systems Architecture 53(8), 501–510 (2007)CrossRef
27.
go back to reference Fauth, A., Praet, J.V., Freericks, M.: Describing instruction set processors using nML. In: EDTC ’95: Proceedings of the 1995 European Conference on Design and Test, pp. 503–507 (1995) Fauth, A., Praet, J.V., Freericks, M.: Describing instruction set processors using nML. In: EDTC ’95: Proceedings of the 1995 European Conference on Design and Test, pp. 503–507 (1995)
28.
go back to reference Fytraki, S., Pnevmatikatos, D.: ReSim, a trace-driven, reconfigurable ILP processor simulator. In: DATE ’09: Proceedings of Design, Automation and Test in Europe 2009 (2009) Fytraki, S., Pnevmatikatos, D.: ReSim, a trace-driven, reconfigurable ILP processor simulator. In: DATE ’09: Proceedings of Design, Automation and Test in Europe 2009 (2009)
29.
go back to reference Gao, L., Kraemer, S., Leupers, R., Ascheid, G., Meyr, H.: A fast and generic hybrid simulation approach using C virtual machine. In: CASES ’07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp. 3–12 (2007) Gao, L., Kraemer, S., Leupers, R., Ascheid, G., Meyr, H.: A fast and generic hybrid simulation approach using C virtual machine. In: CASES ’07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp. 3–12 (2007)
30.
go back to reference Goossens, G., Lanneer, D., Geurts, W., Praet, J.V.: Design of ASIPs in multi-processor SoCs using the Chess/Checkers retargetable tool suite. In: International Symposium on System-on-Chip, pp. 1–4 (2006). DOI 10.1109/ISSOC.2006.321968 Goossens, G., Lanneer, D., Geurts, W., Praet, J.V.: Design of ASIPs in multi-processor SoCs using the Chess/Checkers retargetable tool suite. In: International Symposium on System-on-Chip, pp. 1–4 (2006). DOI 10.​1109/​ISSOC.​2006.​321968
31.
go back to reference Gschwind, M., Altman, E.: Optimization and precise exceptions in dynamic compilation. ACM SIGARCH Computer Architecture News 29(1), 66–74 (2001)CrossRef Gschwind, M., Altman, E.: Optimization and precise exceptions in dynamic compilation. ACM SIGARCH Computer Architecture News 29(1), 66–74 (2001)CrossRef
33.
go back to reference Halambi, A., Grun, P., Ganesh, V., Khare, A., Dutt, N., Nicolau, A.: EXPRESSION: A language for architecture exploration through compiler/simulator retargetability. In: DATE ’99: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 485–490 (1999). DOI http://doi.acm.org/10.1145/307418.307549 Halambi, A., Grun, P., Ganesh, V., Khare, A., Dutt, N., Nicolau, A.: EXPRESSION: A language for architecture exploration through compiler/simulator retargetability. In: DATE ’99: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 485–490 (1999). DOI http://​doi.​acm.​org/​10.​1145/​307418.​307549
34.
go back to reference Horspool, R.N., Marovac, N.: An approach to the problem of detranslation of computer programs. Comput. J. 23(3), 223–229 (1980)CrossRef Horspool, R.N., Marovac, N.: An approach to the problem of detranslation of computer programs. Comput. J. 23(3), 223–229 (1980)CrossRef
35.
go back to reference Ienne, P., Leupers, R.: Customizable Embedded Processors: Design Technologies and Applications (Systems on Silicon). Morgan Kaufmann Publishers Inc., San Francisco, CA, USA (2006) Ienne, P., Leupers, R.: Customizable Embedded Processors: Design Technologies and Applications (Systems on Silicon). Morgan Kaufmann Publishers Inc., San Francisco, CA, USA (2006)
36.
go back to reference Jones, D., Topham, N.P.: High speed CPU simulation using LTU dynamic binary translation. In: HiPEAC’09: Proceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers, pp. 50–64 (2009) Jones, D., Topham, N.P.: High speed CPU simulation using LTU dynamic binary translation. In: HiPEAC’09: Proceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers, pp. 50–64 (2009)
37.
go back to reference Klint, P.: Interpretation techniques. Software: Practice and Experience 11(9), 963 – 973 (1981)CrossRef Klint, P.: Interpretation techniques. Software: Practice and Experience 11(9), 963 – 973 (1981)CrossRef
38.
go back to reference Krall, A., Farfeleder, S., Horspool, N.: Ultra fast cycle-accurate compiled emulation of inorder pipelined architectures. In: SAMOS ’05: Proceedings of the International Workshop on Systems, Architectures, Modeling, and Simulation, LNCS 3553, pp. 222–231 (2005) Krall, A., Farfeleder, S., Horspool, N.: Ultra fast cycle-accurate compiled emulation of inorder pipelined architectures. In: SAMOS ’05: Proceedings of the International Workshop on Systems, Architectures, Modeling, and Simulation, LNCS 3553, pp. 222–231 (2005)
39.
40.
go back to reference Lantz, R.E.: Fast functional simulation with parallel Embra. In: 4th Annual Workshop on Modeling, Benchmarking and Simulation, MOBS’08 (2008) Lantz, R.E.: Fast functional simulation with parallel Embra. In: 4th Annual Workshop on Modeling, Benchmarking and Simulation, MOBS’08 (2008)
41.
go back to reference Larus, J.: Assemblers, linkers and the SPIM simulator. In: D.A. Patterson, J.L. Hennessy (eds.) Computer Organization and Design: The Hardware/software Interface. Morgan Kaufmann (2005) Larus, J.: Assemblers, linkers and the SPIM simulator. In: D.A. Patterson, J.L. Hennessy (eds.) Computer Organization and Design: The Hardware/software Interface. Morgan Kaufmann (2005)
43.
go back to reference Magnusson, P.S., Christensson, M., Eskilson, J., Forsgren, D., Hållberg, G., Högberg, J., Larsson, F., Moestedt, A., Werner, B.: Simics: A full system simulation platform. Computer 35(2), 50–58 (2002)CrossRef Magnusson, P.S., Christensson, M., Eskilson, J., Forsgren, D., Hållberg, G., Högberg, J., Larsson, F., Moestedt, A., Werner, B.: Simics: A full system simulation platform. Computer 35(2), 50–58 (2002)CrossRef
45.
go back to reference Mills, C., Ahalt, S.C., Fowler, J.: Compiled instruction set simulation. Software: Practice and Experience 21(8), 877–889 (1991)CrossRef Mills, C., Ahalt, S.C., Fowler, J.: Compiled instruction set simulation. Software: Practice and Experience 21(8), 877–889 (1991)CrossRef
46.
go back to reference Mishra, P., Dutt, N.: Processor Description Languages, Volume 1. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA (2008) Mishra, P., Dutt, N.: Processor Description Languages, Volume 1. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA (2008)
47.
go back to reference Nakamura, Y., Hosokawa, K.: Fast FPGA-emulation-based simulation environment for custom processors. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E89-A(12), 3464–3470 (2006)CrossRef Nakamura, Y., Hosokawa, K.: Fast FPGA-emulation-based simulation environment for custom processors. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E89-A(12), 3464–3470 (2006)CrossRef
48.
go back to reference Nakamura, Y., Hosokawa, K., Kuroda, I., Yoshikawa, K., Yoshimura, T.: A fast hardware/software co-verification method for system-on-a-chip by using a C/C++ simulator and FPGA emulator with shared register communication. In: DAC ’04: Proceedings of the 41st annual Conference on Design Automation, pp. 299–304 (2004). DOI http://doi.acm.org/10.1145/996566.996655 Nakamura, Y., Hosokawa, K., Kuroda, I., Yoshikawa, K., Yoshimura, T.: A fast hardware/software co-verification method for system-on-a-chip by using a C/C++ simulator and FPGA emulator with shared register communication. In: DAC ’04: Proceedings of the 41st annual Conference on Design Automation, pp. 299–304 (2004). DOI http://​doi.​acm.​org/​10.​1145/​996566.​996655
49.
go back to reference Nohl, A., Braun, G., Schliebusch, O., Leupers, R., Meyr, H., Hoffmann, A.: A universal technique for fast and flexible instruction-set architecture simulation. In: DAC ’02: Proceedings of the 39th Conference on Design Automation, pp. 22–27 (2002) Nohl, A., Braun, G., Schliebusch, O., Leupers, R., Meyr, H., Hoffmann, A.: A universal technique for fast and flexible instruction-set architecture simulation. In: DAC ’02: Proceedings of the 39th Conference on Design Automation, pp. 22–27 (2002)
50.
go back to reference Pees, S., Hoffmann, A., Meyr, H.: Retargetable compiled simulation of embedded processors using a machine description language. ACM Transactions on Design Automation of Electronic Systems. 5(4), 815–834 (2000)CrossRef Pees, S., Hoffmann, A., Meyr, H.: Retargetable compiled simulation of embedded processors using a machine description language. ACM Transactions on Design Automation of Electronic Systems. 5(4), 815–834 (2000)CrossRef
51.
go back to reference Pellauer, M., Vijayaraghavan, M., Adler, M., Arvind, Emer, J.: A-Ports: An efficient abstraction for cycle-accurate performance models on FPGAs. In: FPGA ’08: Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays, pp. 87–96 (2008). DOI http://doi.acm.org/10.1145/1344671.1344685 Pellauer, M., Vijayaraghavan, M., Adler, M., Arvind, Emer, J.: A-Ports: An efficient abstraction for cycle-accurate performance models on FPGAs. In: FPGA ’08: Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays, pp. 87–96 (2008). DOI http://​doi.​acm.​org/​10.​1145/​1344671.​1344685
52.
go back to reference Pellauer, M., Vijayaraghavan, M., Adler, M., Arvind, Emer, J.: Quick performance models quickly: Closely-coupled partitioned simulation on FPGAs. In: ISPASS ’08: IEEE International Symposium on Performance Analysis of Systems and Software, pp. 1–10 (2008). DOI 10.1109/ISPASS.2008.4510733 Pellauer, M., Vijayaraghavan, M., Adler, M., Arvind, Emer, J.: Quick performance models quickly: Closely-coupled partitioned simulation on FPGAs. In: ISPASS ’08: IEEE International Symposium on Performance Analysis of Systems and Software, pp. 1–10 (2008). DOI 10.​1109/​ISPASS.​2008.​4510733
55.
go back to reference Raghav, S., Ruggiero, M., Atienza, D., Pinto, C., Marongiu, A., Benini, L.: Scalable instruction set simulator for thousand-core architectures running on gpgpus. In: International Conference on High Performance Computing and Simulation, HPCS ’10, pp. 459–466. IEEE (2010). DOI 10.1109/HPCS.2010.5547092 Raghav, S., Ruggiero, M., Atienza, D., Pinto, C., Marongiu, A., Benini, L.: Scalable instruction set simulator for thousand-core architectures running on gpgpus. In: International Conference on High Performance Computing and Simulation, HPCS ’10, pp. 459–466. IEEE (2010). DOI 10.​1109/​HPCS.​2010.​5547092
56.
57.
go back to reference Reshadi, M., Dutt, N., Mishra, P.: A retargetable framework for instruction-set architecture simulation. ACM Transactions on Embedded Computing Systems (TECS) 5(2), 431–452 (2006) Reshadi, M., Dutt, N., Mishra, P.: A retargetable framework for instruction-set architecture simulation. ACM Transactions on Embedded Computing Systems (TECS) 5(2), 431–452 (2006)
59.
go back to reference Reshadi, M., Mishra, P., Dutt, N.: Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation. ACM Transactions on Embedded Computing Systems (TECS) 8(3), 1–27 (2009) Reshadi, M., Mishra, P., Dutt, N.: Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation. ACM Transactions on Embedded Computing Systems (TECS) 8(3), 1–27 (2009)
60.
go back to reference Roeven, H., Coninx, J., Ade, M.: CoolFlux DSP: The embedded ultra low power C-programmable DSP core. In: GSPx’04: International Signal Processing Conference, pp. 1–7 (2004) Roeven, H., Coninx, J., Ade, M.: CoolFlux DSP: The embedded ultra low power C-programmable DSP core. In: GSPx’04: International Signal Processing Conference, pp. 1–7 (2004)
61.
go back to reference Rosenblum, M., Herrod, S.A., Witchel, E., Gupta, A.: Complete computer system simulation: The SimOS approach. IEEE Parallel & Distributed Technology 3(4), 34–43 (1995)CrossRef Rosenblum, M., Herrod, S.A., Witchel, E., Gupta, A.: Complete computer system simulation: The SimOS approach. IEEE Parallel & Distributed Technology 3(4), 34–43 (1995)CrossRef
62.
go back to reference Sathaye, S., Ledak, P., Leblanc, J., Kosonocky, S., Gschwind, M., Fritts, J., Bright, A., Altman, E., Agricola, C.: BOA: Targeting multi-gigahertz with binary translation. In: In Proceedings of the 1999 Workshop on Binary Translation, pp. 2–11 (1999) Sathaye, S., Ledak, P., Leblanc, J., Kosonocky, S., Gschwind, M., Fritts, J., Bright, A., Altman, E., Agricola, C.: BOA: Targeting multi-gigahertz with binary translation. In: In Proceedings of the 1999 Workshop on Binary Translation, pp. 2–11 (1999)
63.
go back to reference Schnerr, J., Bringmann, O., Rosenstiel, W.: Cycle accurate binary translation for simulation acceleration in rapid prototyping of SoCs. In: DATE ’05: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 792–797 (2005). DOI http://dx.doi.org/10.1109/DATE.2005.106 Schnerr, J., Bringmann, O., Rosenstiel, W.: Cycle accurate binary translation for simulation acceleration in rapid prototyping of SoCs. In: DATE ’05: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 792–797 (2005). DOI http://​dx.​doi.​org/​10.​1109/​DATE.​2005.​106
64.
go back to reference Schnerr, J., Haug, G., Rosenstiel, W.: Instruction set emulation for rapid prototyping of SoCs. In: DATE ’03: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 562–567 (2003) Schnerr, J., Haug, G., Rosenstiel, W.: Instruction set emulation for rapid prototyping of SoCs. In: DATE ’03: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 562–567 (2003)
66.
go back to reference Smith, J.E., Nair, R.: Virtual Machines. Morgan Kaufman (2005) Smith, J.E., Nair, R.: Virtual Machines. Morgan Kaufman (2005)
67.
go back to reference Suh, T., Lee, H.H.S., Lu, S.L., Shen, J.: Initial observations of hardware/software co-simulation using FPGA in architectural research. In: WARFP’06: In Proceedings of the 2nd Workshop on Architecture Research using FPGA Platforms (2006) Suh, T., Lee, H.H.S., Lu, S.L., Shen, J.: Initial observations of hardware/software co-simulation using FPGA in architectural research. In: WARFP’06: In Proceedings of the 2nd Workshop on Architecture Research using FPGA Platforms (2006)
69.
go back to reference Vachharajani, M., Vachharajani, N., August, D.I.: The Liberty Structural Specification Language: A high-level modeling language for component reuse. In: PLDI ’04: Proceedings of the ACM SIGPLAN 2004 Conference on Programming Language Design and Implementation, pp. 195–206 (2004) Vachharajani, M., Vachharajani, N., August, D.I.: The Liberty Structural Specification Language: A high-level modeling language for component reuse. In: PLDI ’04: Proceedings of the ACM SIGPLAN 2004 Conference on Programming Language Design and Implementation, pp. 195–206 (2004)
70.
go back to reference Vachharajani, M., Vachharajani, N., Penry, D.A., Blome, J.A., Malik, S., August, D.I.: The Liberty Simulation Environment: A deliberate approach to high-level system modeling. ACM Transactions on Computer Systems 24(3), 211–249 (2006)CrossRef Vachharajani, M., Vachharajani, N., Penry, D.A., Blome, J.A., Malik, S., August, D.I.: The Liberty Simulation Environment: A deliberate approach to high-level system modeling. ACM Transactions on Computer Systems 24(3), 211–249 (2006)CrossRef
72.
go back to reference Wang, Z., Liu, R., YufeiChen, Wu, X., Chen, H., Zhang, W., Zang, B.: COREMU: a scalable and portable parallel full-system emulator. In: Proceedings of the 16th ACM symposium on Principles and Practice of Parallel Programming, pp. 213–222. ACM (2011). URL http://doi.acm.org/10.1145/1941553.1941583 Wang, Z., Liu, R., YufeiChen, Wu, X., Chen, H., Zhang, W., Zang, B.: COREMU: a scalable and portable parallel full-system emulator. In: Proceedings of the 16th ACM symposium on Principles and Practice of Parallel Programming, pp. 213–222. ACM (2011). URL http://​doi.​acm.​org/​10.​1145/​1941553.​1941583
73.
go back to reference Witchel, E., Rosenblum, M.: Embra: Fast and flexible machine simulation. In: SIGMETRICS ’96: Proceedings of the 1996 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, pp. 68–79 (1996) Witchel, E., Rosenblum, M.: Embra: Fast and flexible machine simulation. In: SIGMETRICS ’96: Proceedings of the 1996 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, pp. 68–79 (1996)
74.
go back to reference Yi, J.J., Lilja, D.J.: Simulation of computer architectures: Simulators, benchmarks, methodologies, and recommendations. IEEE Transactions on Computers 55(3), 268–280 (2006)CrossRef Yi, J.J., Lilja, D.J.: Simulation of computer architectures: Simulators, benchmarks, methodologies, and recommendations. IEEE Transactions on Computers 55(3), 268–280 (2006)CrossRef
Metadata
Title
DSP Instruction Set Simulation
Authors
Florian Brandner
Nigel Horspool
Andreas Krall
Copyright Year
2013
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-6859-2_29