Skip to main content
Top

2014 | OriginalPaper | Chapter

4. Error Correction Coding for Electronic Circuits

Authors : Juan A. Maestro, Pedro Reviriego, Mark F. Flanagan

Published in: Energy-Efficient Fault-Tolerant Systems

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Digital electronic circuits are subject to many types of error. Considering the effect of such errors on the circuit functionality, they can be classed as permanent, transient or intermittent. Permanent (or “hard”) errors disrupt the functionality of the circuit for its entire lifetime [1]. An example of a hard error is a stuck at one/zero fault in a logical gate, in which the output is fixed to a logical value regardless of the values of the inputs. Permanent errors can be caused, for example, by manufacturing defects, aging, or radiation effects. Transient (or “soft”) errors only affect the functionality of the circuit for a short time. An example of a transient error is a radiation-induced soft error, in which a particle impacts the circuit and changes the logical value of one circuit node [2]. Transient errors can also be caused by noise or crosstalk. The circuit functions correctly after the error event, but if an incorrect value is stored in a register or memory then the system state can be erroneous. Intermittent errors are those which affect a circuit node in such a way as to cause errors frequently but not constantly [3]. These errors are commonly caused by marginal or unstable behavior, which may or may not cause an error, depending on the conditions.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
For EG codes, \( J=\sqrt{n+1}={2^s} \).
 
2
Here \( \left\lceil x \right\rceil \) denotes the smallest integer greater than or equal to x.
 
Literature
1.
go back to reference J. Segura, C.F. Hawkins, CMOS Electronics: How It Works, How It Fails (IEEE Press/Wiley Interscience, Hoboken, 2004)CrossRef J. Segura, C.F. Hawkins, CMOS Electronics: How It Works, How It Fails (IEEE Press/Wiley Interscience, Hoboken, 2004)CrossRef
2.
go back to reference R.C. Baumann, Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Dev. Mat. Rel. 5(3), 301–316 (2005)MathSciNetCrossRef R.C. Baumann, Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Dev. Mat. Rel. 5(3), 301–316 (2005)MathSciNetCrossRef
3.
go back to reference C. Constantinescu, Intermittent faults and effects on reliability of integrated circuits. Annual Reliability and Maintainability Symposium (RAMS), (2008), pp. 370–374 C. Constantinescu, Intermittent faults and effects on reliability of integrated circuits. Annual Reliability and Maintainability Symposium (RAMS), (2008), pp. 370–374
4.
go back to reference J.-F. Li, J.-C. Yeh, R.-F. Huang, C.-W. Wu, A built-in self-repair design for RAMs with 2-D redundancies. IEEE Trans. Very Large Scale Integr. Syst. 13(6), 742–745 (2005)CrossRef J.-F. Li, J.-C. Yeh, R.-F. Huang, C.-W. Wu, A built-in self-repair design for RAMs with 2-D redundancies. IEEE Trans. Very Large Scale Integr. Syst. 13(6), 742–745 (2005)CrossRef
5.
go back to reference Z. Ji, L. Lin, J.F. Zhang, B. Kaczer, G. Groeseneken, NBTI lifetime prediction and kinetics at operation bias based on ultrafast pulse measurement. IEEE Trans. Electron Dev. 57(3), 228–237 (2010)CrossRef Z. Ji, L. Lin, J.F. Zhang, B. Kaczer, G. Groeseneken, NBTI lifetime prediction and kinetics at operation bias based on ultrafast pulse measurement. IEEE Trans. Electron Dev. 57(3), 228–237 (2010)CrossRef
6.
go back to reference M. Nicolaidis, Design for soft error mitigation. IEEE Trans. Device Mat. Rel. 5(3), 405–418 (2005)CrossRef M. Nicolaidis, Design for soft error mitigation. IEEE Trans. Device Mat. Rel. 5(3), 405–418 (2005)CrossRef
7.
go back to reference A. Reddy, P. Banarjee, Algorithm-based fault detection for signal processing applications. IEEE Trans. Comput. 39(10), 1304–1308 (1990)CrossRef A. Reddy, P. Banarjee, Algorithm-based fault detection for signal processing applications. IEEE Trans. Comput. 39(10), 1304–1308 (1990)CrossRef
8.
go back to reference C.L. Chen, M.Y. Hsiao, Error-correcting codes for semiconductor memory applications: a state-of-the-art review. IBM J. Res. Dev. 28(2), 124–134 (1984)CrossRef C.L. Chen, M.Y. Hsiao, Error-correcting codes for semiconductor memory applications: a state-of-the-art review. IBM J. Res. Dev. 28(2), 124–134 (1984)CrossRef
9.
go back to reference S. Lin, D.J. Costello, Error Control Coding, 2nd edn. (Prentice-Hall, Englewood Cliffs, 2004) S. Lin, D.J. Costello, Error Control Coding, 2nd edn. (Prentice-Hall, Englewood Cliffs, 2004)
10.
go back to reference G. Dong, N. Xie, T. Zhang, On the use of soft-decision error-correction codes in NAND flash memory. IEEE Trans. Circuits Syst. I Regular Papers 58(2), 429–439 (2011)MathSciNetCrossRef G. Dong, N. Xie, T. Zhang, On the use of soft-decision error-correction codes in NAND flash memory. IEEE Trans. Circuits Syst. I Regular Papers 58(2), 429–439 (2011)MathSciNetCrossRef
11.
go back to reference J. F. Wakerly, Digital Design Principles and Practices, 4th edn. (Prentice Hall, Upper Saddle River, NJ, USA, 2006) J. F. Wakerly, Digital Design Principles and Practices, 4th edn. (Prentice Hall, Upper Saddle River, NJ, USA, 2006)
12.
go back to reference M. Fazeli, S. N. Ahmadian, S. G. Miremadi, A low energy soft error-tolerant register file architecture for embedded processors. 11th IEEE High Assurance Systems Engineering Symposium, (2008), pp. 109–116 M. Fazeli, S. N. Ahmadian, S. G. Miremadi, A low energy soft error-tolerant register file architecture for embedded processors. 11th IEEE High Assurance Systems Engineering Symposium, (2008), pp. 109–116
13.
go back to reference S. Esmaeeli, M. Hosseini, B. V. Vahdat, B. Rashidian, A multi-bit error tolerant register file for a high reliable embedded processor. 18th IEEE International Conference on Electronics, Circuits and Systems (ICECS), (2011), pp. 532–537 S. Esmaeeli, M. Hosseini, B. V. Vahdat, B. Rashidian, A multi-bit error tolerant register file for a high reliable embedded processor. 18th IEEE International Conference on Electronics, Circuits and Systems (ICECS), (2011), pp. 532–537
14.
go back to reference A.R. Alameldeen, Z. Chishti, C. Wilkerson, W. Wu, S.-L. Lu, Adaptive cache design to enable reliable low-voltage operation. IEEE Trans. Comput. 60(1), 50–63 (2011)MathSciNetCrossRef A.R. Alameldeen, Z. Chishti, C. Wilkerson, W. Wu, S.-L. Lu, Adaptive cache design to enable reliable low-voltage operation. IEEE Trans. Comput. 60(1), 50–63 (2011)MathSciNetCrossRef
15.
go back to reference H. Sun, N. Zheng, T. Zhang, Leveraging access locality for the efficient use of multibit error-correcting codes in L2 cache. IEEE Trans. Comput. 58(10), 1297–1306 (2009)MathSciNetCrossRef H. Sun, N. Zheng, T. Zhang, Leveraging access locality for the efficient use of multibit error-correcting codes in L2 cache. IEEE Trans. Comput. 58(10), 1297–1306 (2009)MathSciNetCrossRef
16.
go back to reference E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, T. Toba, Impact of scaling on neutron-induced soft error rate in SRAMs from a 250 nm to a 22 nm Design Rule. IEEE Trans. Electron Dev. 57(7), 1527–1538 (2010)CrossRef E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, T. Toba, Impact of scaling on neutron-induced soft error rate in SRAMs from a 250 nm to a 22 nm Design Rule. IEEE Trans. Electron Dev. 57(7), 1527–1538 (2010)CrossRef
17.
go back to reference S. Baeg, S. Wen, R. Wong, SRAM interleaving distance selection with a soft error failure model. IEEE Trans. Nucl. Sci. 56(4 (part 2)), 2111–2118 (2009)CrossRef S. Baeg, S. Wen, R. Wong, SRAM interleaving distance selection with a soft error failure model. IEEE Trans. Nucl. Sci. 56(4 (part 2)), 2111–2118 (2009)CrossRef
18.
go back to reference B. Schroeder, E. Pinheiro, W. -D. Weber, DRAM Errors in the wild: a large-scale field study. ACM SIGMETRICS/Performance (2009) B. Schroeder, E. Pinheiro, W. -D. Weber, DRAM Errors in the wild: a large-scale field study. ACM SIGMETRICS/Performance (2009)
19.
go back to reference T. J. Dell, A White Paper on the Benefits of Chipkill-Correct ECC for PC Server Main Memory. IBM Microelectronics, (1997) T. J. Dell, A White Paper on the Benefits of Chipkill-Correct ECC for PC Server Main Memory. IBM Microelectronics, (1997)
20.
go back to reference K. Pagiamtzis, A. Sheikholeslami, Content-addressable memory (CAM) circuits and architectures: a tutorial and survey. IEEE J. Solid-State Circ. 41(3), 712–727 (2006)CrossRef K. Pagiamtzis, A. Sheikholeslami, Content-addressable memory (CAM) circuits and architectures: a tutorial and survey. IEEE J. Solid-State Circ. 41(3), 712–727 (2006)CrossRef
21.
go back to reference S. Mukherjee, Architecture Design for Soft Errors (Morgan Kaufmann, Amsterdam, 2008) S. Mukherjee, Architecture Design for Soft Errors (Morgan Kaufmann, Amsterdam, 2008)
22.
go back to reference K. Pagiamtzis, N. Azizi, F. N. Najm, A soft-error tolerant content-addressable memory (CAM) using an error-correcting-match scheme. IEEE Custom Integrated Circuits Conference, pp. 301–304, Sept 2006 K. Pagiamtzis, N. Azizi, F. N. Najm, A soft-error tolerant content-addressable memory (CAM) using an error-correcting-match scheme. IEEE Custom Integrated Circuits Conference, pp. 301–304, Sept 2006
23.
go back to reference S. Gregori, A. Cabrini, O. Khouri, G. Torelli, On-chip error correcting techniques for new-generation flash memories. Proc. IEEE 91(4), 602–616 (2003)CrossRef S. Gregori, A. Cabrini, O. Khouri, G. Torelli, On-chip error correcting techniques for new-generation flash memories. Proc. IEEE 91(4), 602–616 (2003)CrossRef
24.
go back to reference Y. Maeda, H. Kaneko, Error control coding for multilevel cell flash memories using nonbinary low-density parity-check codes. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2009), pp. 367–275 Y. Maeda, H. Kaneko, Error control coding for multilevel cell flash memories using nonbinary low-density parity-check codes. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2009), pp. 367–275
25.
go back to reference A. Jiang, J. Bruck, Data representation for Flash memories, book chapter in Data Storage. ISBN: 978-953-307-063-6, In-Tech Publisher, (2010) A. Jiang, J. Bruck, Data representation for Flash memories, book chapter in Data Storage. ISBN: 978-953-307-063-6, In-Tech Publisher, (2010)
26.
go back to reference Q. Huang, S. Lin, K.A.S. Abdel-Ghaffar, Error correcting codes for flash coding. IEEE Trans. Inform. Theory 57(9), 6097–6108 (2011)MathSciNetCrossRef Q. Huang, S. Lin, K.A.S. Abdel-Ghaffar, Error correcting codes for flash coding. IEEE Trans. Inform. Theory 57(9), 6097–6108 (2011)MathSciNetCrossRef
27.
go back to reference J. Wang, T. Courtade, H. Shankar, R. D. Wesel, Soft information for LDPC decoding in flash: mutual-information optimized quantization. IEEE Global Telecommunications Conference, (2011) J. Wang, T. Courtade, H. Shankar, R. D. Wesel, Soft information for LDPC decoding in flash: mutual-information optimized quantization. IEEE Global Telecommunications Conference, (2011)
28.
go back to reference A. Ganguly, P.P. Pande, B. Belzer, Crosstalk-aware channel coding schemes for energy-efficient and reliable NOC interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17(11), 1626–1639 (2009)CrossRef A. Ganguly, P.P. Pande, B. Belzer, Crosstalk-aware channel coding schemes for energy-efficient and reliable NOC interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17(11), 1626–1639 (2009)CrossRef
29.
go back to reference S.E. Lee, Y.S. Yang, G.S. Choi, W. Wu, R. Iyer, Low-power, resilient interconnection with Orthogonal Latin Squares. IEEE Des. Test. Comput. 28(2), 30–39 (2011)CrossRef S.E. Lee, Y.S. Yang, G.S. Choi, W. Wu, R. Iyer, Low-power, resilient interconnection with Orthogonal Latin Squares. IEEE Des. Test. Comput. 28(2), 30–39 (2011)CrossRef
30.
go back to reference R.H. Morelos-Zaragoza, The Art of Error Correcting Coding, 2nd edn. (Wiley, Chichester, 2006)CrossRef R.H. Morelos-Zaragoza, The Art of Error Correcting Coding, 2nd edn. (Wiley, Chichester, 2006)CrossRef
31.
go back to reference J.J. Metzner, Convolutionally encoded memory protection. IEEE Trans. Comput. 31(6), 547–551 (1983) J.J. Metzner, Convolutionally encoded memory protection. IEEE Trans. Comput. 31(6), 547–551 (1983)
32.
go back to reference K. Rokas, Y. Makris, D. Gizopoulos, Low cost convolutional code based concurrent error detection in FSMs. IEEE International Symposium on Defect and Fault Tolerance in VLSI, (2003), pp. 344–351 K. Rokas, Y. Makris, D. Gizopoulos, Low cost convolutional code based concurrent error detection in FSMs. IEEE International Symposium on Defect and Fault Tolerance in VLSI, (2003), pp. 344–351
33.
go back to reference E.S. Fetzer, D. Dahle, D.C. Little, K. Safford, The Parity protected, multithreaded register files on the 90-nm itanium microprocessor. IEEE J. Solid-State Circ. 41(1), 246–255 (2006)CrossRef E.S. Fetzer, D. Dahle, D.C. Little, K. Safford, The Parity protected, multithreaded register files on the 90-nm itanium microprocessor. IEEE J. Solid-State Circ. 41(1), 246–255 (2006)CrossRef
34.
go back to reference Z. Chaohuang, N. Saxena, E. J. McCluskey, Finite state machine synthesis with concurrent error detection. International Test Conference, (1999), pp. 672–679 Z. Chaohuang, N. Saxena, E. J. McCluskey, Finite state machine synthesis with concurrent error detection. International Test Conference, (1999), pp. 672–679
35.
go back to reference M. Nicolaidis, R.O. Duarte, Fault-secure parity prediction Booth multipliers. IEEE Des. Test. Comput. 16(3), 90–101 (1999)CrossRef M. Nicolaidis, R.O. Duarte, Fault-secure parity prediction Booth multipliers. IEEE Des. Test. Comput. 16(3), 90–101 (1999)CrossRef
36.
go back to reference G. C. Cardarilli, M. Ottavi, S. Pontarelli, M. Re, A. Salsano, Error detection in signed digit arithmetic circuit with parity checker. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2003), pp. 401–408 G. C. Cardarilli, M. Ottavi, S. Pontarelli, M. Re, A. Salsano, Error detection in signed digit arithmetic circuit with parity checker. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2003), pp. 401–408
37.
go back to reference F. Vargas, M. Nicolaidis, SEU-tolerant SRAM design based on current monitoring. Twenty-Fourth International Symposium on Fault-Tolerant Computing, (1994), pp. 106–115 F. Vargas, M. Nicolaidis, SEU-tolerant SRAM design based on current monitoring. Twenty-Fourth International Symposium on Fault-Tolerant Computing, (1994), pp. 106–115
39.
go back to reference M.Y. Hsiao, A class of optimal minimum odd-weight column SEC-DED codes. IBM J. Res. Dev. 14(4), 395–401 (1970)CrossRef M.Y. Hsiao, A class of optimal minimum odd-weight column SEC-DED codes. IBM J. Res. Dev. 14(4), 395–401 (1970)CrossRef
40.
go back to reference M. Richter, K. Oberlaender, M. Goessel, New linear SEC-DED codes with reduced triple bit error miscorrection probability. IEEE On-Line Testing Symposium, (2008), pp. 37–42 M. Richter, K. Oberlaender, M. Goessel, New linear SEC-DED codes with reduced triple bit error miscorrection probability. IEEE On-Line Testing Symposium, (2008), pp. 37–42
41.
go back to reference A. Dutta, N. A. Touba, Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC Code. 25th IEEE VLSI Test Symposium, (2007), pp. 349–354 A. Dutta, N. A. Touba, Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC Code. 25th IEEE VLSI Test Symposium, (2007), pp. 349–354
42.
go back to reference X. She, N. Li, D.W. Jensen, SEU tolerant memory using error correction code. IEEE Trans. Nucl. Sci. 59(1), 205–210 (2012)CrossRef X. She, N. Li, D.W. Jensen, SEU tolerant memory using error correction code. IEEE Trans. Nucl. Sci. 59(1), 205–210 (2012)CrossRef
43.
go back to reference M.A. Bajura et al., Models and algorithmic limits for an ECC-based approach to hardening sub-100-nm SRAMs. IEEE Trans. Nucl. Sci. 54(4), 935–945 (2007)CrossRef M.A. Bajura et al., Models and algorithmic limits for an ECC-based approach to hardening sub-100-nm SRAMs. IEEE Trans. Nucl. Sci. 54(4), 935–945 (2007)CrossRef
44.
go back to reference S. Satoh, Y. Tosaka, S.A. Wender, Geometric effect of multiple-bit soft errors induced by cosmic ray neutrons on DRAMs. IEEE Electron Dev. Lett. 21(6), 310–312 (2000)CrossRef S. Satoh, Y. Tosaka, S.A. Wender, Geometric effect of multiple-bit soft errors induced by cosmic ray neutrons on DRAMs. IEEE Electron Dev. Lett. 21(6), 310–312 (2000)CrossRef
45.
go back to reference C. Wilkerson, A. R. Alameldeen, Z. Chishti, W. Wu, D. Somasekhar. S. Lu, Reducing cache power with low cost, multi-bit error-correcting codes. International Symposium on Computer Architecture, pp. 83–93, June 2010 C. Wilkerson, A. R. Alameldeen, Z. Chishti, W. Wu, D. Somasekhar. S. Lu, Reducing cache power with low cost, multi-bit error-correcting codes. International Symposium on Computer Architecture, pp. 83–93, June 2010
46.
go back to reference P. Ankolekar, S. Rosner, R. Isaac, J. Bredow, Multi-bit error correction methods for Latency-Contrained flash memory systems. IEEE Trans. Dev. Mat. Rel. 10(1), 33–39 (2010)CrossRef P. Ankolekar, S. Rosner, R. Isaac, J. Bredow, Multi-bit error correction methods for Latency-Contrained flash memory systems. IEEE Trans. Dev. Mat. Rel. 10(1), 33–39 (2010)CrossRef
47.
go back to reference G.C. Cardarilli, A. Leandri, P. Marinucci, M. Ottavi, S. Pontarelli, M. Re, A. Salsano, Design of a fault tolerant solid state mass memory. IEEE Trans. Rel. 52(4), 476–491 (2003)CrossRef G.C. Cardarilli, A. Leandri, P. Marinucci, M. Ottavi, S. Pontarelli, M. Re, A. Salsano, Design of a fault tolerant solid state mass memory. IEEE Trans. Rel. 52(4), 476–491 (2003)CrossRef
48.
go back to reference S. Jeon, E. Hwang, K. V. Kumar, M. K. Cheng, LDPC codes for memory systems with scrubbing. IEEE Global Telecommunications Conference (GLOBECOM), (2010) S. Jeon, E. Hwang, K. V. Kumar, M. K. Cheng, LDPC codes for memory systems with scrubbing. IEEE Global Telecommunications Conference (GLOBECOM), (2010)
49.
go back to reference D. Strukov, The area and latency tradeoffs of binary bit-parallel BCH decoders for prospective nanoelectronic memories. Proceedings of 2006 Asilomar Conference on Signals Systems and Computers, pp. 1183–1187, Oct 2006 D. Strukov, The area and latency tradeoffs of binary bit-parallel BCH decoders for prospective nanoelectronic memories. Proceedings of 2006 Asilomar Conference on Signals Systems and Computers, pp. 1183–1187, Oct 2006
51.
go back to reference J. Dénes, A.D. Keedwell, Latin Squares and Their Applications (Academic, New York, 1974)MATH J. Dénes, A.D. Keedwell, Latin Squares and Their Applications (Academic, New York, 1974)MATH
52.
go back to reference R. Datta, N. A. Touba, Generating burst-error correcting codes from orthogonal Latin Square codes – A Graph Theoretic Approach. IEEE International Symposium Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), (2011), pp. 367–373 R. Datta, N. A. Touba, Generating burst-error correcting codes from orthogonal Latin Square codes – A Graph Theoretic Approach. IEEE International Symposium Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), (2011), pp. 367–373
53.
go back to reference S. Ghosh, P. D. Lincoln, Dynamic low-density parity check codes for fault-tolerant nano-scale memory. Proceedings of Foundations of Nanoscience (FNANO ‘07) (Snowbird, Utah, 2007) S. Ghosh, P. D. Lincoln, Dynamic low-density parity check codes for fault-tolerant nano-scale memory. Proceedings of Foundations of Nanoscience (FNANO ‘07) (Snowbird, Utah, 2007)
54.
go back to reference S. Ghosh, P. D. Lincoln, Low-density parity check codes for error correction in nanoscale memory. SRI Computer Science Laboratory Technical Report, CSL-0703, (2007) S. Ghosh, P. D. Lincoln, Low-density parity check codes for error correction in nanoscale memory. SRI Computer Science Laboratory Technical Report, CSL-0703, (2007)
55.
go back to reference H. Naeimi, A. DeHon, Fault secure encoder and decoder for memory applications. Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2007) H. Naeimi, A. DeHon, Fault secure encoder and decoder for memory applications. Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2007)
56.
go back to reference H. Naeimi, A. DeHon, Fault secure encoder and decoder for nanoMemory applications. IEEE Trans. Very Large Scale Integr. Syst. 17(4), 473–486 (2009)CrossRef H. Naeimi, A. DeHon, Fault secure encoder and decoder for nanoMemory applications. IEEE Trans. Very Large Scale Integr. Syst. 17(4), 473–486 (2009)CrossRef
57.
go back to reference P. Reviriego, M. Flanagan, J. A. Maestro, Efficient multibit error correction for memory applications using Euclidean Geometry codes. Proceedings of the RADECS 2011 Conference, (2011), pp. 160–163 P. Reviriego, M. Flanagan, J. A. Maestro, Efficient multibit error correction for memory applications using Euclidean Geometry codes. Proceedings of the RADECS 2011 Conference, (2011), pp. 160–163
58.
go back to reference S. Liu, P. Reviriego, J.A. Maestro, Efficient majority logic fault detection with difference-set codes for memory applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(1), 148–156 (2012)CrossRef S. Liu, P. Reviriego, J.A. Maestro, Efficient majority logic fault detection with difference-set codes for memory applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(1), 148–156 (2012)CrossRef
59.
go back to reference P. Reviriego, M. Flanagan, J.A. Maestro, A (64, 45) triple error correction code for memory applications. IEEE Trans. Dev. Mat. Rel. 12(1), 101–106 (2012)CrossRef P. Reviriego, M. Flanagan, J.A. Maestro, A (64, 45) triple error correction code for memory applications. IEEE Trans. Dev. Mat. Rel. 12(1), 101–106 (2012)CrossRef
60.
go back to reference P. Reviriego, M. Flanagan, S. Liu, J.A. Maestro, Multiple cell upset correction in memories using difference set codes. IEEE Trans. Circuits Syst. I 59(11), 2592–2599 (2012). ISSN:1549-8328 P. Reviriego, M. Flanagan, S. Liu, J.A. Maestro, Multiple cell upset correction in memories using difference set codes. IEEE Trans. Circuits Syst. I 59(11), 2592–2599 (2012). ISSN:1549-8328
61.
go back to reference P. Reviriego, C. Argyrides, J.A. Maestro, Efficient error detection in double error correction BCH codes for memory applications. Microelectron Rel. 52(7), 1528–1530 (2012)CrossRef P. Reviriego, C. Argyrides, J.A. Maestro, Efficient error detection in double error correction BCH codes for memory applications. Microelectron Rel. 52(7), 1528–1530 (2012)CrossRef
62.
go back to reference P. Reviriego, J.A. Maestro, M. Flanagan, Error detection in majority logic decoding of Euclidean geometry low density parity check (EG-LDPC) codes. IEEE Trans. Very Large Scale Integr. Syst. 21(1), 156–159 (2013) P. Reviriego, J.A. Maestro, M. Flanagan, Error detection in majority logic decoding of Euclidean geometry low density parity check (EG-LDPC) codes. IEEE Trans. Very Large Scale Integr. Syst. 21(1), 156–159 (2013)
63.
go back to reference P. Reviriego, M. Flanagan, S. Liu, J.A. Maestro, Error-detection enhanced decoding of difference set codes for memory applications. IEEE Trans. Dev. Mat. Rel. 12(2), 335–340 (2012)CrossRef P. Reviriego, M. Flanagan, S. Liu, J.A. Maestro, Error-detection enhanced decoding of difference set codes for memory applications. IEEE Trans. Dev. Mat. Rel. 12(2), 335–340 (2012)CrossRef
64.
go back to reference Z. Wang, M.G. Karpovsky, K. Kulikowski, Design of memories with concurrent error detection and correction by non-linear SEC-DED codes. J. Electron. Test. 26, 559–580 (2010)CrossRef Z. Wang, M.G. Karpovsky, K. Kulikowski, Design of memories with concurrent error detection and correction by non-linear SEC-DED codes. J. Electron. Test. 26, 559–580 (2010)CrossRef
65.
go back to reference C. Chen, C. Wu, An adaptive code rate EDAC scheme for random access memory Design, Automation & Test in Europe Conference & Exhibition (DATE), (2010), pp. 735–740 C. Chen, C. Wu, An adaptive code rate EDAC scheme for random access memory Design, Automation & Test in Europe Conference & Exhibition (DATE), (2010), pp. 735–740
66.
go back to reference D. Yoon, M. Erez, Virtualized ECC: flexible reliability in main memory. IEEE Micro 31(1), 11–19 (2011)CrossRef D. Yoon, M. Erez, Virtualized ECC: flexible reliability in main memory. IEEE Micro 31(1), 11–19 (2011)CrossRef
67.
go back to reference S. Pontarelli, G. C. Cardarilli, M. Re, A. Salsano, Error correction codes for SEU and SEFI tolerant memory systems. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2009), pp. 425–430 S. Pontarelli, G. C. Cardarilli, M. Re, A. Salsano, Error correction codes for SEU and SEFI tolerant memory systems. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2009), pp. 425–430
Metadata
Title
Error Correction Coding for Electronic Circuits
Authors
Juan A. Maestro
Pedro Reviriego
Mark F. Flanagan
Copyright Year
2014
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-4193-9_4