Skip to main content
Top

2018 | OriginalPaper | Chapter

5. Hybrid Application Mapping

Authors : Andreas Weichslgartner, Stefan Wildermann, Michael Glaß, Jürgen Teich

Published in: Invasive Computing for Mapping Parallel Programs to Many-Core Architectures

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Previously, a new class of distributed application run-time mapping algorithms called self-embedding was presented. They are not designed for hard real-time applications which require an upper bound for end-to-end latency. To achieve predictability or even *-predictability, a static (performance) analysis is inevitable to determine and optimize upper and lower bounds. Therefore, a novel hybrid application mapping methodology (consisting of a design-time analysis and run-time mapping) is introduced. In contrast to related work, a packet-switched NoC communication, as in the invasive NoC, is considered.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
In this chapter, we assume tiles with a single processing core. However, with an adapted schedulability analysis, this approach could also be applied to systems with multiple cores per tile.
 
2
Generally, the service intervals on different tiles or resource types could be of different lengths. Our approach could still work here, but for keeping notations simple, we make these assumptions.
 
3
Direct predecessors of a task \( \text {pred}(t)\) in our application graph model are always messages. To determine the predecessor task in a path of the mapped application graph we, therefore, use the function \( \text {pred}_{T}(t)\).
 
4
\(o_{5,r}\) depends on the number of resource types (\(|R|\)). For each resource type, one individual optimization objective is added to the multi-objective optimization.
 
5
Equivalent is meant in the sense of nonfunctional properties of execution (objectives).
 
6
Note the difference of the binding and routing to \(\beta \) and \(\rho \) during DSE.
 
7
The mapping of the constraint graph is a variant of task mapping. Note also, that assigning tasks to a heterogeneous many-core systems is a generalized assignment problem which is already NP-complete [20].
 
8
The OPs are selected by the knapsack heuristic based on the tile availability. Thus, there are sufficient tiles of the desired resource type available. However, Algorithm 5.1 proves the absence of feasible routings, when mapping all selected OPs.
 
9
If the DSE is conducted with the the same architecture which is used for run-time mapping, each OP, respectively constraint graph, has at least one feasible mapping in an empty system.
 
10
In both variants, only operating points are used which do not violate the deadline, hence both satisfy the real-time requirements.
 
11
For connections with a long wire length, registers with an additional delay may be used to reduce the critical path and enable high frequencies in synchronous designs.
 
12
In some related work, time multiplexed arbitration with fixed time slots is referred as time division multiplexing (TDM). In this book, we use TDM and TDMA synonymously.
 
Literature
1.
go back to reference Akesson B, Molnos AM, Hansson A, Ambrose JA, Goossens K (2011) Composability and predictability for independent application development, verification, and execution. In: Hübner M, Becker J (eds) Multiprocessor system-on-chip - hardware design and tool integration. Springer, pp 25–56. https://doi.org/10.1007/978-1-4419-6460-1_2 Akesson B, Molnos AM, Hansson A, Ambrose JA, Goossens K (2011) Composability and predictability for independent application development, verification, and execution. In: Hübner M, Becker J (eds) Multiprocessor system-on-chip - hardware design and tool integration. Springer, pp 25–56. https://​doi.​org/​10.​1007/​978-1-4419-6460-1_​2
2.
go back to reference Akesson B, Minaeva A, Sucha P, Nelson A, Hanzálek Z (2015) An efficient configuration methodology for time-division multiplexed single resources. In: Proceedings of real-time and embedded technology and applications symposium (RTAS). IEEE, pp 161–171. https://doi.org/10.1109/RTAS.2015.7108439 Akesson B, Minaeva A, Sucha P, Nelson A, Hanzálek Z (2015) An efficient configuration methodology for time-division multiplexed single resources. In: Proceedings of real-time and embedded technology and applications symposium (RTAS). IEEE, pp 161–171. https://​doi.​org/​10.​1109/​RTAS.​2015.​7108439
4.
17.
go back to reference Graf S, Reimann F, Glaß M, Teich J (2014) Towards scalable symbolic routing for multi-objective networked embedded system design and optimization. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 2:1–2:10. https://doi.org/10.1145/2656075.2656102 Graf S, Reimann F, Glaß M, Teich J (2014) Towards scalable symbolic routing for multi-objective networked embedded system design and optimization. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 2:1–2:10. https://​doi.​org/​10.​1145/​2656075.​2656102
19.
go back to reference Henkel J, Bauer L, Dutt N, Gupta P, Nassif SR, Shafique M, Tahoori MB, Wehn N (2013) Reliable on-chip systems in the nano-era: lessons learnt and future trends. In: Proceedings of the design automation conference (DAC). ACM, pp 99:1–99:10. https://doi.org/10.1145/2463209.2488857 Henkel J, Bauer L, Dutt N, Gupta P, Nassif SR, Shafique M, Tahoori MB, Wehn N (2013) Reliable on-chip systems in the nano-era: lessons learnt and future trends. In: Proceedings of the design automation conference (DAC). ACM, pp 99:1–99:10. https://​doi.​org/​10.​1145/​2463209.​2488857
20.
go back to reference Hölzenspies PKF, Hurink J, Kuper J, Smit GJM (2008) Run-time spatial mapping of streaming applications to a heterogeneous multi-processor system-on-chip (MPSOC). In: Proceedings of the design, automation and test in Europe (DATE). ACM, pp 212–217. https://doi.org/10.1109/DATE.2008.4484688 Hölzenspies PKF, Hurink J, Kuper J, Smit GJM (2008) Run-time spatial mapping of streaming applications to a heterogeneous multi-processor system-on-chip (MPSOC). In: Proceedings of the design, automation and test in Europe (DATE). ACM, pp 212–217. https://​doi.​org/​10.​1109/​DATE.​2008.​4484688
22.
go back to reference Kobbe S, Bauer L, Lohmann D, Schröder-Preikschat W, Henkel J (2011) DistRM: Distributed resource management for on-chip many-core systems. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 119–128. https://doi.org/10.1145/2039370.2039392 Kobbe S, Bauer L, Lohmann D, Schröder-Preikschat W, Henkel J (2011) DistRM: Distributed resource management for on-chip many-core systems. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 119–128. https://​doi.​org/​10.​1145/​2039370.​2039392
24.
go back to reference Mariani G, Sima VM, Palermo G, Zaccaria V, Silvano C, Bertels K (2012) Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures. In: Proceedings of the design, automation and test in Europe (DATE). IEEE, pp 1379–1384. https://doi.org/10.1109/DATE.2012.6176578 Mariani G, Sima VM, Palermo G, Zaccaria V, Silvano C, Bertels K (2012) Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures. In: Proceedings of the design, automation and test in Europe (DATE). IEEE, pp 1379–1384. https://​doi.​org/​10.​1109/​DATE.​2012.​6176578
25.
go back to reference Ngo TD, Martin KJ, Diguet JP (2015) Move based algorithm for runtime mapping of dataflow actors on heterogeneous MPSoCs. J Sig Process Syst: 1–18 Ngo TD, Martin KJ, Diguet JP (2015) Move based algorithm for runtime mapping of dataflow actors on heterogeneous MPSoCs. J Sig Process Syst: 1–18
28.
go back to reference Schor L, Bacivarov I, Rai D, Yang H, Kang S, Thiele L (2012) Scenario-based design flow for mapping streaming applications onto on-chip many-core systems. In: Proceedings of the international conference on compilers, architecture, and synthesis for embedded systems (CASES). ACM, pp 71–80. https://doi.org/10.1145/2380403.2380422 Schor L, Bacivarov I, Rai D, Yang H, Kang S, Thiele L (2012) Scenario-based design flow for mapping streaming applications onto on-chip many-core systems. In: Proceedings of the international conference on compilers, architecture, and synthesis for embedded systems (CASES). ACM, pp 71–80. https://​doi.​org/​10.​1145/​2380403.​2380422
33.
go back to reference Singh AK, Dziurzanski P, Mendis HR, Indrusiak LS (2017) A survey and comparative study of hard and soft real-time dynamic resource allocation strategies for multi-/many-core systems. ACM Comput Surv 50(2):24:1–24:40. https://doi.org/10.1145/3057267 Singh AK, Dziurzanski P, Mendis HR, Indrusiak LS (2017) A survey and comparative study of hard and soft real-time dynamic resource allocation strategies for multi-/many-core systems. ACM Comput Surv 50(2):24:1–24:40. https://​doi.​org/​10.​1145/​3057267
35.
go back to reference Teich J, Glaß M, Roloff S, Schröder-Preikschat W, Snelting G, Weichslgartner A, Wildermann S (2016) Language and compilation of parallel programs for *-predictable MPSoC execution using invasive computing. In: Proceedings of the international symposium on embedded multicore/many-core systems-on-Chip. IEEE, pp 313–320. https://doi.org/10.1109/MCSoC.2016.30 Teich J, Glaß M, Roloff S, Schröder-Preikschat W, Snelting G, Weichslgartner A, Wildermann S (2016) Language and compilation of parallel programs for *-predictable MPSoC execution using invasive computing. In: Proceedings of the international symposium on embedded multicore/many-core systems-on-Chip. IEEE, pp 313–320. https://​doi.​org/​10.​1109/​MCSoC.​2016.​30
37.
go back to reference Weichslgartner A, Gangadharan D, Wildermann S, Glaß M, Teich J (2014) DAARM: design-time application analysis and run-time mapping for predictable execution in many-core systems. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 34:1–34:10. https://doi.org/10.1145/2656075.2656083 Weichslgartner A, Gangadharan D, Wildermann S, Glaß M, Teich J (2014) DAARM: design-time application analysis and run-time mapping for predictable execution in many-core systems. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 34:1–34:10. https://​doi.​org/​10.​1145/​2656075.​2656083
38.
go back to reference Weichslgartner A, Wildermann S, Gangadharan D, Glaß M, Teich J (2017) A design-time/run-time application mapping methodology for predictable execution time in MPSoCs. ArXiv e-prints pp 1–30, arXiv: 1711.05932 Weichslgartner A, Wildermann S, Gangadharan D, Glaß M, Teich J (2017) A design-time/run-time application mapping methodology for predictable execution time in MPSoCs. ArXiv e-prints pp 1–30, arXiv:​ 1711.​05932
39.
go back to reference Wildermann S, Reimann F, Ziener D, Teich J (2011) Symbolic design space exploration for multi-mode reconfigurable systems. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 129–138. https://doi.org/10.1145/2039370.2039393 Wildermann S, Reimann F, Ziener D, Teich J (2011) Symbolic design space exploration for multi-mode reconfigurable systems. In: Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS). ACM, pp 129–138. https://​doi.​org/​10.​1145/​2039370.​2039393
Metadata
Title
Hybrid Application Mapping
Authors
Andreas Weichslgartner
Stefan Wildermann
Michael Glaß
Jürgen Teich
Copyright Year
2018
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7356-4_5